校准软件

几款基于 C28x 的器件支持高分辨率脉宽调制 (HRPWM) 和捕捉 (HRCAP) 以及增强型 PWM 和捕捉模块。这些器件的校准库可以在 C2000Ware 安装程序中的 /libraries/calibration 位置找到。

HRPWM 校准库

当 PWM 分辨率低于大约 9-10 位时,建议使用高分辨率 PWM。尽管每个应用可能不同,但典型的低频 PWM 操作(低于 250kHz)可能不需要 HRPWM。HRPWM 功能对于各种电源转换拓扑的高频 PWM 要求非常有用。

HRPWM 基于微边沿定位 (MEP) 技术。MEP 逻辑能够通过细分传统 PWM 发生器的一个粗略系统时钟来非常精细地定位边沿。时间阶跃精度约为 150ps。有关特定器件的典型 MEP 步长,请参见器件特定数据表。

MEP 步长根据最坏情况的工艺参数、工作温度和电压而变化。HRPWM 校准库包含一个自检软件诊断模式,用于检查 MEP 逻辑是否在所有操作条件下都以最佳方式运行。使用 HRPWM 特性的应用程序应使用 TI 提供的 MEP 比例因子优化 (SFO) 库。SFO 库有助于在 HRPWM 运行时动态地确定每个 EPWMCLK 周期的 MEP 步数。

SFO 库可用于位域和基于 Driverlib 的代码库。若要了解使用细节,请参阅 /libraries/calibration/hrpwm/<device>/lib 处的 readme.txt。

HRCAP 校准库

只有具有类型 0 HRCAP 的器件才需要 HRCAP 校准库。更高版本的 HRCAP 将这种校准逻辑纳入硬件本身。

HRCAP 校准 (HCCal) 逻辑能够捕捉将 HRCAP 时钟周期细分的离散时间步长的边沿。HRCAP 步长约为 300ps,并根据最坏情况下的工艺参数、工作温度和电压而变化。有关器件的典型 HRCAP 步长,请参见器件特定数据表。

在高分辨率捕捉模式下使用 HRCAP 类型 0 的应用应使用 TI 提供的 HRCAP 校准库,在 HRCAP 处于高分辨率模式时将 HRCAP 步长动态地调整为 HCCAPCLK 周期的若干分之几。该库还提供测量高分辨率高脉冲宽度、低脉冲宽度和周期的功能。要了解使用情况具体细节,请参阅器件 TRM。