TI-RTOS Drivers  tidrivers_full_2_20_00_08
Data Structures | Typedefs | Variables
ADCMSP432.h File Reference

Detailed Description

ADC driver implementation for the ADC peripheral on MSP432.

============================================================================

This ADC driver implementation is designed to operate on a ADC14 peripheral for MSP432. The ADC MSP432 header file should be included in an application as follows:

#include <ti/drivers/ADC.h>
#include <ti/drivers/ADCMSP432.h>

Refer to ADC.h for a complete description of APIs & example of use.


#include <stdint.h>
#include <stdbool.h>
#include <ti/drivers/ADC.h>
#include <ti/drivers/ports/HwiP.h>
#include <ti/drivers/ports/SemaphoreP.h>
#include <ti/drivers/Power.h>
Include dependency graph for ADCMSP432.h:

Go to the source code of this file.

Data Structures

struct  ADCMSP432_HWAttrs
 ADCMSP432 Hardware attributes These fields are used by driverlib APIs and therefore must be populated by driverlib macro definitions. For MSPWare these definitions are found in: More...
 
struct  ADCMSP432_Object
 ADCMSP432 Object. More...
 

Typedefs

typedef struct ADCMSP432_HWAttrs ADCMSP432_HWAttrs
 ADCMSP432 Hardware attributes These fields are used by driverlib APIs and therefore must be populated by driverlib macro definitions. For MSPWare these definitions are found in: More...
 
typedef struct ADCMSP432_Object ADCMSP432_Object
 ADCMSP432 Object. More...
 

Variables

const ADC_FxnTable ADCMSP432_fxnTable
 

Typedef Documentation

ADCMSP432 Hardware attributes These fields are used by driverlib APIs and therefore must be populated by driverlib macro definitions. For MSPWare these definitions are found in:

  • adc14.h
  • gpio.h
  • ref_a.h

A sample structure is shown below:

1 const ADCMSP432_HWAttrs adcMSP432HWAttrs[Board_ADCCHANNELCOUNT] = {
2  {
3  .channel = ADC_INPUT_A0,
4  .gpioPort = GPIO_PORT_P5,
5  .gpioPin = GPIO_PIN5,
6  .gpioMode = GPIO_TERTIARY_MODULE_FUNCTION,
7  .refVoltage = REF_A_VREF2_5V,
8  .resolution = ADC_14BIT
9  }
10 };

ADCMSP432 Object.

The application must not access any member variables of this structure!

Variable Documentation

const ADC_FxnTable ADCMSP432_fxnTable
Copyright 2016, Texas Instruments Incorporated