AM243x Motor Control SDK  09.02.00
TI HDSL Register List

TI HDSL Solution's register map is compatible with SICK HDSL MASTER IP Core release version 1.07, with few exceptions marked with "Not available in TI HDSL Solution", or "Different implementation from SICK HDSL MASTER IP Core", or "Register address is different from SICK HDSL MASTER IP Core" in below table.

Register name Register offset Bit/s Description
SYS_CTRL 0x00 System Control
7 PRST: Protocol reset
  • 0 = Normal protocol action
  • 1 = A forced reset of the protocol status will be initiated. If the bit is deleted, a restart of the connection is triggered.
6 MRST: Messages reset
  • 0 = Normal Parameters Channel action
  • 1 = The Parameters Channel is reset. Current short and long messages are discarded.
5 FRST: Pipeline FIFO, reset
NOTE : Not available in TI HDSL Solution
4 LOOP: Test drive interface
NOTE : Not available in TI HDSL Solution
3 PRDY: POS_READY mode
NOTE : Not available in TI HDSL Solution
2 SPPE: SPI-PIPE activation
NOTE : Not available in TI HDSL Solution
1 SPOL: Polarity of the synchronization pulse
NOTE : Not available in TI HDSL Solution
0 OEN: Activation of the output
NOTE : Not available in TI HDSL Solution
SYNC_CTRL 0x01 Synchronization Control
7:0 ES: External synchronization
  • 0 = Position sampling during free running at the shortest cycle time.
  • All other values = Position sampling with the sync signal synchronized. The value from ES determines the number of position samplings carried out in one sync cycle.
MASTER_QM 0x03 Quality Monitoring
7 LINK: DSL protocol connection status
  • 0 = No connection present or connection error due to a communications error.
  • 1 = Protocol connection between DSL Master and Slave was established.
6:4 NOTE : Reserved (Read as "0")
3:0 Quality monitoring value
  • Quality monitoring is initiated with the value "8".
  • The maximum quality monitoring value is "15". This is the standard value during operation.
  • Higher values indicate a better connection.
EVENT_H 0x04 Events (High Byte)
  • It contains the messaging bits for warning and error modes of the DSL system.
  • All messaging bits are set by the DSL Master if a corresponding status is determined.
  • An event bit that has been set is not reset by the DSL Master.
  • It should be noted that all event register bits are also transferred to ONLINE_STATUS_D register. The event bits are not static there and contain the actual status of each individual event.
7 INT: Interrupt status
NOTE : Not available in TI HDSL Solution
6

SUM: Remote event monitoring

  • 0 = All DSL Slave events are deleted.
  • 1 = The DSL Slave has signaled an event and the summary mask is set accordingly (see registers MASK_SUM and SUMMARY).

When the SUM bit is set, an error or a warning has been transmitted from the DSL Slave. The application must check the SUMMARY register to obtain a detailed description.

5:4 NOTE : Reserved (Read as "0")
3 POS: Estimator turned on
  • 0 = The data for the fast position was correctly transmitted.
  • 1 = Fast position data consistency error. The fast position read through drive interface is supplied by the estimator. This error usually indicates a transmission error on the DSL connection. If this error occurs frequently, the wiring of the DSL connection should be checked. If this error occurs continuously, there is probably an error in the motor feedback system.
2 NOTE : Reserved (Read as "0")
1 DTE: Estimator Deviation Threshold Error
  • 0 = Current value of deviation smaller than the specified maximum.
  • 1 = Current value of deviation greater than the specified maximum.
    NOTE : Not available in TI HDSL Solution.
0 PRST: Protocol reset warning
  • 0 = Normal protocol action
  • 1 = The forced protocol reset was triggered.
EVENT_L 0x05 Events (Low Byte)
  • It contains the messaging bits for warning and error modes of the DSL system.
  • All messaging bits are set by the DSL Master if a corresponding status is determined.
  • An event bit that has been set is not reset by the DSL Master.
  • It should be noted that all event register bits are also transferred to ONLINE_STATUS_D register. The event bits are not static there and contain the actual status of each individual event.
7:6 NOTE : Reserved (Read as "0")
5

MIN: Message initialization

  • 0 = No acknowledgment for the initialization received.
  • 1 = An acknowledgment was received from the Slave for the initialization of a message.

When this warning is displayed, the Parameters Channel is still in the initialization status and no "short message" or "long message" can be triggered.

4

ANS: Erroneous answer to "long message"

  • 0 = The last answers to "long messages" were error free.
  • 1 = An error occurred during the answer to a long message. The effectiveness of the previous transaction is not known.

This error indicates that the transmission of an answer from the DSL Slave to the last "long message" failed. The application must send the "long message" again.

3 NOTE : Reserved (Read as "0")
2 QMLW: Quality monitoring low value warning
  • 0 = Quality monitoring value greater than or equal to "14"
  • 1 = Quality monitoring value (see register 03h) below "14"
1

FREL: Channel free for "long message"

  • 1 = A "long message" can be sent on the Parameters Channel.
  • 0 = No "long message" can be sent.

If the bit is set, the application can trigger a "long message". Provided no answer has been received from the DSL Slave, this bit remains deleted. As the processing duration of a "long message" in the motor feedback system is not specified, a user time limit condition should be installed via the application. When a time limit is exceeded, the MRST bit in the SYS_CTRL register is set, which causes the Parameters Channel to be reset.

0 NOTE : Reserved (Read as "0")
MASK_H 0x06 Event mask (High Byte)
  • In the event mask registers MASK_H/MASK_L, the events are set with which the event interrupt is set.
  • Several events can be masked to trigger an event interrupt.
7 NOTE : Reserved (Read as "0")
6 MSUM: Mask for remote event monitoring
  • 0 = DSL Slave events that are masked in the SUMMARY register do not set the event interrupt.
  • 1 = DSL Slave events that are masked in the SUMMARY register set the event interrupt.
5:4 NOTE : Reserved (Read as "0")
3 MPOS: Mask for fast position error
  • 0 = An error in the fast position does not set the event interrupt.
  • 1 = An error in the fast position sets the event interrupt.
2 NOTE : Reserved (Read as "0")
1 MDTE: Mask for estimator deviation threshold error warning
  • 0 = A high deviation threshold error value does not set the event interrupt.
  • 1 = A high estimator deviation threshold error sets the event interrupt.
    NOTE : Not available in TI HDSL Solution.
0 MPRST: Mask for protocol reset warning
  • 0 = A protocol reset does not set the event interrupt.
  • 1 = A protocol reset sets the event interrupt.
MASK_L 0x07 Event mask (Low Byte)
  • In the event mask registers MASK_H/MASK_L, the events are set with which the event interrupt is set.
  • Several events can be masked to trigger an event interrupt.
7:6 NOTE : Reserved (Read as "0")
5 MMIN: Mask for message initialization confirmation
  • 0 = The acknowledgment for the initialization of a DSL Slave message does not set the event interrupt.
  • 1 = The acknowledgment for the initialization of a DSL Slave message sets the event interrupt.
4 MANS: Mask for erroneous answer to long message
  • 0 = A transmission error during the answer to a long message does not set the event interrupt.
  • 1 = A transmission error during the answer to a long message sets the event interrupt.
3 NOTE : Reserved (Read as "0")
2 MQMLW: Mask for low quality monitoring value warning
  • 0 = A low quality monitoring value does not set the event interrupt.
  • 1 = A low quality monitoring value (see registers MASTER_QM and EVENT_L) sets the event interrupt.
1 MFREL: Mask for "channel free for "long message" - 0 = If a "long message" can be sent on the Parameters Channel, the event interrupt is not set. - 1 = If a "long message" can be sent on the Parameters Channel, the event interrupt is set.
0 NOTE : Reserved (Read as "0")
MASK_SUM 0x08 Summary mask
  • In this register, the DSL Slave collective events are determined with which the SUM event monitoring in the event register as well as the signal to the interrupt pin are set (interrupt).
7:0 MSUM7:MSUM0: Mask for status summary bits
  • 0 = In the set status, the corresponding status summary bit does not set the SUM event monitoring and the signal at the interrupt pin.
  • 1 = In the set status, the corresponding status summary bit sets the SUM event monitoring and the signal at the interrupt pin.
EDGES 0x09 Edges
  • This register contains the time control for the DSL cable bit sampling and can be used to monitor the connection quality.
  • Each individual edge register bit is set if, at system start-up, an edge of the test signal is detected during the time period of the corresponding bit. An edge is defined as a change in cable value between successive detections.
  • The sampling is carried out eight times as fast as the cable bit rate.
  • Clean cable signals mean that only a few bits are set in the edge register, whilst noisy cable signals set a large number of bits.
    NOTE : Not available in TI HDSL Solution in this release
7:0 Bit sampling pattern: Identification of edges in the cable signal
  • 0 = No edge was detected in the time period of the corresponding bit.
  • 1 = An edge was detected in the time period of the corresponding bit.
DELAY 0x0A Run time delay / RSSI
7:4 Cable delay
  • 4 bit value for cable delay, which gives the cable signal round trip delay of cable and transceivers in bits.
  • This value enables a rough estimate of cable length to be made.
  • The value for Line Delay does not change after the start-up phase. A fresh value for Line Delay is only measured after a forced reset of the protocol.
    Cable Delay DSL Connection Cable Delay (ns) DSL Connection Cable Length (m)
    0 < 100 < 10
    1 100-200 10-20
    2 200-300 20-30
    3 300-400 30-40
    4 400-500 40-50
    5 500-600 50-60
    6 600-700 60-70
    7 700-800 70-80
    8 800-900 80-90
    9 900-1000 90-100
3:0 RSSI: Indication of the received signal strength
  • 4 bit value for the cable signal strength, from "0" to "12".
  • Higher values indicate better connection quality.
  • RSSI is continuously updated during operation and used for signal monitoring during run time.
VERSION 0x0B Version
NOTE : Different implementation from SICK HDSL MASTER IP Core
7:4 Major Release Number
3:0 Minor Release Number
RELEASE 0x0C Release Date
NOTE : Not available in TI HDSL Solution
ENC_ID2 0x0D Encoder ID (Byte 2)
The ENC_ID registers (ENC_ID2, ENC_ID1 and ENC_ID0) contain the designation code of the motor feedback system connected to the DSL Master. In the current protocol specification, the designation code is 20 bits long.
7 NOTE : Reserved (Read as "0")
6:4 SCI: Indication of special characters
3 Continue
  • 1 = ENC_ID is longer than 20 bits (for future use).
2:0 NOTE : Reserved (Read as "0")
ENC_ID1 0x0E Encoder ID (Byte 1)
7:4 User defined encoder index
3 NOTE : Reserved (Read as "0")
2 Sign
  • 0 = Position value is signed.
  • 1 = Position value is not signed.
1:0 Higher 2 bits of length of position information minus length of the acceleration value transmitted.
ENC_ID0 0x0F Encoder ID (Byte 0)
7:4 Lower 4 bits of length of position information minus length of the acceleration value transmitted.
3:0 Length of the acceleration value transmitted minus 8.
POS4 0x10

Fast Position (Byte 4)

  • The POS registers for the fast position contain the value of the motor feedback system connected.
  • This position is generated incrementally from the safe position at start-up and is updated with every protocol frame.
  • After every eight protocol frames, the fast position is checked against the safe position (see registers 0x18 to 0x1C).
  • The position sampling point is determined by the ES value of the synchronization control register.
  • Only those POS bits are activated that lie within the range that the motor feedback system has actually measured. All other higher value bits are read as "0".
    • The number of measurable bits can be taken from ENC_ID bits 9 to 0 in the ENC_ID0 and ENC_ID1 registers.
    • If Sign is set in the ENC_ID1 register, the value of the fast position is given signed in the two's complement.
    • The units of the position value are (steps).

NOTE : The fast position must not be used for safety functions.

7:0 Byte 4 of fast position value of the motor feedback system (length: 40 bits), incrementally generated
POS3 0x11 Fast Position (Byte 3)
7:0 Byte 3 of fast position value of the motor feedback system (length: 40 bits), incrementally generated
POS2 0x12 Fast Position (Byte 2)
7:0 Byte 2 of fast position value of the motor feedback system (length: 40 bits), incrementally generated
POS1 0x13 Fast Position (Byte 1)
7:0 Byte 1 of fast position value of the motor feedback system (length: 40 bits), incrementally generated
POS0 0x14 Fast Position (Byte 0)
7:0 Byte 0 of fast position value of the motor feedback system (length: 40 bits), incrementally generated
VEL2 0x15

Speed (Byte 2)

  • The VEL speed registers contain the speed values of the connected motor feedback system.
  • This value is calculated as a delta position from the acceleration value (delta-delta position) transmitted on the process data channel and the currently updated protocol frame.
  • The speed sampling point is determined by the ES value of the SYNC_CTRL register.
  • The units of the speed value are (steps/frame cycle time).

NOTE : The speed value must not be used for safety functions.

7:0 Byte 2 of speed of the motor feedback system (length: 24 bits)
VEL1 0x16 Speed (Byte 1)
7:0 Byte 1 of speed of the motor feedback system (length: 24 bits)
VEL0 0x17 Speed (Byte 0)
7:0 Byte 0 of speed of the motor feedback system (length: 24 bits)
MIR_SUM 0x18 Mirror Summary
NOTE : Not available in TI HDSL Solution. Please see SAFE_SUM (0x36) for getting summary information
VPOS4 0x19 Safe Position, Channel 1 (Byte 4)
  • The VPOS registers for the safe position contain the position value from the primary channel of the motor feedback system connected.
  • This safe position is transmitted in every eighth protocol frame.
  • Only those VPOS bits are activated that lie within the range that the motor feedback system has actually measured. All other higher value bits are read as "0".
    • The number of measurable bits can be taken from ENC_ID bits 9 to 0 in the ENC_ID0 and ENC_ID1 registers.
    • If Sign is set in the ENC_ID1 register, the value of the fast position is given signed in the two's complement.
    • The units of the position value are (steps).
  • The safe position will have the same data format as the fast position.
7:0 Byte 4 of position value transmitted through Safe Channel 1 (length: 40 bits), absolute value.
VPOS3 0x1A Safe Position, Channel 1 (Byte 3)
7:0 Byte 3 of position value transmitted through Safe Channel 1 (length: 40 bits), absolute value.
VPOS2 0x1B Safe Position, Channel 1 (Byte 2)
7:0 Byte 2 of position value transmitted through Safe Channel 1 (length: 40 bits), absolute value.
VPOS1 0x1C Safe Position, Channel 1 (Byte 1)
7:0 Byte 1 of position value transmitted through Safe Channel 1 (length: 40 bits), absolute value.
VPOS0 0x1D Safe Position, Channel 1 (Byte 0)
7:0 Byte 0 of position value transmitted through Safe Channel 1 (length: 40 bits), absolute value.
VPOSCRC_H 0x1E Position checksum, Channel 1 (High Byte)
  • The VPOSCRC registers for the position checksum contain the CRC checksum of the safe position VPOS and the SUMMARY status.
  • The CRC is checked in the DSL Master IP Core.
  • In order to guarantee, in a safety related application, that the CRC machine in the IP Core is functioning, these registers can be checked with an external cross check in the diagnostics test interval.
  • The CRC is generated with the following CRC parameters:
    • CRC Sequence : 16 Bit
    • CRC Polynomial : 0xC86C (x16 + x15 + x12 + x7 + x6 + x4 + x3 + 1), Normal representation: 0x90D9
    • Starting Value : 0x0000
    • Closing XOR Value : 0x00FF
    • Reverse Data Bytes : No
    • Reverse CRC before closing XOR : No
    • Sequence of the bytes for calculation : SAFE_SUM, VPOS4, VPOS3, VPOS2, VPOS1, VPOS0
7:0 Byte 1 of 16 bit CRC checksum (CRC 16) of the safe position and status summary in Safe Channel 1.
VPOSCRC_L 0x1F Position checksum, Channel 1 (Low Byte)
7:0 Byte 0 of 16 bit CRC checksum (CRC 16) of the safe position and status summary in Safe Channel 1.
PC_BUFFER0 0x20 Parameters Channel Buffer (Byte 0)
  • The eight PC_BUFFER registers of the Parameters Channel buffer contain the answer to the last "long message" request or the data for a "long message" write operation.
  • Depending on the length of the "long message" answer, the registers are used as follows:
    Length of the "long message" Registers used
    8 bytes 0x20 to 0x27
    4 bytes 0x20 to 0x23
    2 bytes 0x20 to 0x21
    0 bytes None
  • These registers are also for the reporting of error conditions arising from a "long message" operation. If, when accessing a resource, an error due to a "long message" arises (e.g. invalid data, error in the A/D conversion), after the answering message has been received the LOFF bit in the PC_ADD_H register (28h) is set. In this case the Parameters Channel buffer bytes 0 and 1 contain an error code.
  • The meaning of the error code depends on the particular encoder.
7:0 Byte 0 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation; or
Byte 0 of 2 bytes for reports about errors in encoder resources arising from the previous "long message" operation.
PC_BUFFER1 0x21 Parameters Channel Buffer (Byte 1)
7:0 Byte 1 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation; or
Byte 1 of 2 bytes for reports about errors in encoder resources arising from the previous "long message" operation.
PC_BUFFER2 0x22 Parameters Channel Buffer (Byte 2)
7:0 Byte 2 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation.
PC_BUFFER3 0x23 Parameters Channel Buffer (Byte 3)
7:0 Byte 3 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation.
PC_BUFFER4 0x24 Parameters Channel Buffer (Byte 4)
7:0 Byte 4 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation.
PC_BUFFER5 0x25 Parameters Channel Buffer (Byte 5)
7:0 Byte 5 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation.
PC_BUFFER6 0x26 Parameters Channel Buffer (Byte 6)
7:0 Byte 6 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation.
PC_BUFFER7 0x27 Parameters Channel Buffer (Byte 7)
7:0 Byte 7 of 8 bytes for the answer to a long message (read operation) or for a "long message" write operation.
PC_ADD_H 0x28 Long message address (High Byte)
  • The addresses and the addressing mode for "long messages" sent over the Parameters Channel are determined in the PC_ADD_H/PC_ADD_L long message address registers.
  • In addition, the long message address register 0x28 (PC_ADD_H) contains indications of errors arising from "long message" operations. For this sort of error, the Parameters Channel buffer contains the error code in bytes 0 and 1 associated with this status.
7 NOTE : Reserved (Read as "0")
6 LRW: Long message, read/write mode
  • 0 = "Long message" write operation
  • 1 = "Long message" read operation
5 LOFF: Long message addressing mode/long message error
  • Write Access
    • 0 = Addressing of "long messages" without offset. The offset value from the PC_OFF_H/PC_OFF_Lregisters is not used.
    • 1 = Offset addressing of "long messages". The offset value from the PC_OFF_H/PC_OFF_L registers is used in the resource of the selected database entry as a sub-address.
  • Read Access
    • 0 = The last "long message" was correctly processed.
    • 1 = The last "long message" caused an error.
4 LIND: Indirect addressing of long messages
  • 0 = Direct addressing of "long messages". The operation affects the database entry given in the current address.
  • 1 = Indirect addressing of "long messages". During this operation, the stored address content in the given database entry is evaluated.
3:2 LLEN: Data length of the "long message"
  • 00 = No data bytes
  • 01 = 2 data bytes
  • 10 = 4 data bytes
  • 11 = 8 data bytes
1:0 Bits 9:8 of 10 bit address for a "long message" operation
PC_ADD_L 0x29 Long message address (Low Byte)
7:0 Bits 7:0 of 10 bit address for a "long message" operation
PC_OFF_H 0x2A Long message address offset (High Byte)
  • The PC_OFF_H/PC_OFF_L address offset registers for long messages are used in "long message" operations, if LOFF is set in the register 0x28.
  • In this case the LOFFADD value from these registers is used to communicate with the sub-address of a multiple byte encoder resource.
7 LID: Long message identification. The value must be "1".
6:0 LOFFADD (14:8) : Long message offset value Bits 14:8 of the 15 bit offset value of the "long message" address offset is stored in these bits.
PC_OFF_L 0x2B Long message address offset (Low Byte)
7:0 LOFFADD (7:0) : Long message offset value Bits 7:0 of the 15 bit offset value of the "long message" address offset is stored in these bits.
PC_CTRL 0x2C Parameters Channel Control
  • This register for the Parameters Channel handles the start of "long message" transactions. After setting all "long message" registers (registers PC_BUFFER0 to 7, PC_ADD_H/PC_ADD_L and PC_OFF_H/L), the "long message" is transmitted to the DSL Slave by setting the LSTA bit.
7:1 NOTE : Reserved (Read as "0")
0 LSTA: Control of the long message start
  • 0 = No effect.
  • 1 = A "long message" transaction is started with the values currently stored in the "long message" registers.
PIPE_S 0x2D SensorHub Channel Status
NOTE : Not available in TI HDSL Solution
PIPE_D 0x2E SensorHub Channel Data 8 bit value of the SensorHub Channel Data
PC_DATA 0x2F "Short message" Mirror Register
NOTE : Not available in TI HDSL Solution. Please see S_PC_DATA (0x37) for “short message” transactions.
RESERVED 0x34, 0x33, 0x32, 0x31, 0x30 NOTE : Reserved for future use
SAFE_CTRL 0x35 Safe System Control
7 PRST: Protocol reset
  • 0 = Normal protocol action.
  • 1 = A forced reset of the protocol status will be initiated. If the bit is deleted, a restart of the connection is triggered.
6 MRST: Messages reset
  • 0 = Normal Parameters Channel action.
  • 1 = The Parameters Channel is reset. Current short and long messages are discarded.
5:0 NOTE : Reserved (Read as "0")
SAFE_SUM 0x36 Safe Summary
  • This register contains the summarized DSL Slave status information for the safety related application.
  • It is based on the encoder status ENC_ST7:0.
  • Each status summary bit contains the summarized information from 8 error, warning and event modes of the DSL Slave.
7:1 SSUM7:SSUM1: Status Summary bit (external resource)
  • 0 = The corresponding error, warning or event is not active.
  • 1 = An error, a warning or an event associated with DSL Slave external resources was triggered.
0 SSUM0: Status summary bit (interface)
  • 0 = The DSL Slave protocol has not triggered an error, a warning or event.
  • 1 = An error, a warning or an event associated with the DSL Slave protocol interface was triggered.
S_PC_DATA 0x37 "Short message" Parameters Channel Data
  • This register for the Parameters Channel short message contains the results of “short message” transactions.
  • “Short message” transactions are generated if operations are carried out with remote registers (DSL Slave).
  • Generally, FRES (in the EVENT_S register) must be set after a transaction is started. Only then will S_PC_DATA contain valid information.
7:0 8 bit value of the requested remote register.
ACC_ERR_CNT 0x38 Fast Position Error Counter
NOTE : Different implementation from SICK HDSL MASTER IP Core
  • This register gives the count of transmitted fast position values with consecutive transmission errors.
  • Writing to this register does not set any threshold for setting an error signal.
7:0 8 bit value of count of transmitted fast position values with consecutive transmission errors.
MAXACC 0x39 Fast Position Acceleration Boundary
NOTE : Not available in TI HDSL Solution.
MAXDEV 0x3A, 0x3B Fast Position Estimator Deviation
NOTE : Not available in TI HDSL Solution.
RESERVED 0x3C NOTE : Reserved for future use
EVENT_S 0x3D Safe Events
  • It contains the messaging bits for warning and error modes of the DSL system.
  • All messaging bits are set by the DSL Master if a corresponding status is determined.
  • An event bit that has been set is not reset by the DSL Master.
  • The safety related application must delete bits that have been set.
  • It should be noted that all event register bits are also transferred to Online Status 1. The event bits are not static there and contain the actual status of each individual event.
  • The following bit description lists the effects of warning and error conditions as well as the reactions to errors that must be installed in the safety related application.
7 SINT: Safe Interrupt status
NOTE : Not available in TI HDSL Solution.
6

SSUM: Remote event monitoring

  • 0 = All DSL Slave events are deleted.
  • 1 = The DSL Slave has signaled an event.

When the bit is set, an error or a warning has been transmitted from the DSL Slave. The safety related application must check the SAFE_SUM register to obtain a detailed description.

5

SCE: Error on the Safe Channel

  • 0 = Safe Channel data was correctly transmitted.
  • 1 = Data consistency error on the Safe Channel.

This error usually indicates a transmission error on the DSL connection. If this error occurs frequently, the wiring of the DSL connection should be checked. If this error occurs continuously, there is probably an error in the motor feedback system. This error affects quality monitoring and produces the QMLW warning or a protocol reset.

4

VPOS: Safe position error

  • 0 = The safe position is correct.
  • 1 = Sensor error.

This error usually indicates an encoder sensor error. If this error occurs continuously, there is probably an error in the motor feedback system.

3

QMLW: Quality monitoring low value warning

  • 0 = Quality monitoring value greater than or equal to “14”
  • 1 = Quality monitoring value (see register 0x03) below “14”

This warning indicates that a transmission error occurred. If this error occurs frequently, the wiring of the DSL connection should be checked.

2

PRST: Protocol reset warning

  • 0 = Normal protocol action.
  • 1 = The forced protocol reset was triggered.

This error message indicates that the protocol connection to the DSL Slave has been re-initialized. This error message can be caused by a frequency inverter application request (PRST bit in SYS_CTRL), a safety related application request (PRST bit in SAFE_CTRL), or generated by the DSL Master itself. The DSL Master causes a protocol reset if too many transmission errors indicate a connection problem. A protocol reset causes a re-synchronization with the DSL Slave that can improve the connection quality.

1

MIN: Message init

  • 0 = No acknowledgment for the initialization received.
  • 1 = An acknowledgment was received from the Slave for the initialization of a message.

When this warning is displayed, the Parameters Channel is still in the initialization status and no “short message” or “long message” can be triggered.

0

FRES: Channel free for “short message”

  • 0 = No “short message” can be sent.
  • 1 = A “short message” can be sent on the Parameters Channel.

If the bit is set, the frequency inverter application can trigger a “short message”. Provided no answer has been received from the DSL Slave, this bit remains deleted. As the processing duration of a “short message” in the motor feedback system is not specified, a time limit condition is installed in the DSL Master. If the time limit is exceeded, attempts are made again automatically.

MASK_S 0x3E Safe Event Mask
  • In the safe event mask register, the events are set with which the safe event interrupt is set.
  • Several events can be masked to trigger an safe event interrupt.
7 NOTE : Reserved (Read as "0")
6 MSSUM: Mask for remote event monitoring
  • 0 = DSL Slave events that are set in the SAFE_SUM register do not set the safe event interrupt.
  • 1 = DSL Slave events that are set in the SAFE_SUM register set the safe event interrupt.
5 MSCE: Mask for transmission errors on the Safe Channel
  • 0 = A transmission error on the Safe Channel does not set the safe event interrupt.
  • 1 = A transmission error on the Safe Channel sets the safe event interrupt.
4 MVPOS: Mask for safe position error
  • 0 = An error in the safe position does not set the safe event interrupt.
  • 1 = An error in the safe position sets the safe event interrupt.
3 MQMLW: Mask for low quality monitoring value warning
  • 0 = A low quality monitoring value does not set the safe event interrupt.
  • 1 = A low quality monitoring value (see registers 03h and 05h) sets the safe event interrupt.
2 MPRST: Mask for protocol reset warning
  • 0 = A protocol reset does not set the safe event interrupt.
  • 1 = A protocol reset sets the safe event interrupt.
1 MMIN: Mask for message initialization confirmation
  • 0 = The acknowledgment for the initialization of a DSL Slave message does not set the safe event interrupt.
  • 1 = The acknowledgment for the initialization of a DSL Slave message sets the safe event interrupt.
0 MFRES: Mask for channel free for “short message”
  • 0 = If a “short message” can be sent on the Parameters Channel, the safe event interrupt is not set.
  • 1 = If a “short message” can be sent on the Parameters Channel, the safe event interrupt is set.
RESERVED 0x3F NOTE : Reserved for future use
SLAVE_REG_CTRL 0x40 Short Message Control
7 Short message, read/write mode
  • 0 = "Short message" write operation
  • 1 = "Short message" read operation
6 NOTE : Reserved (Read as "0")
5:0 6 bit address for a “short message” operation
ACC_ERR_CNT_TRESH 0x41 Fast Position Error Counter Threshold
NOTE : Different implementation from SICK HDSL MASTER IP Core
7:0 8 bit threshold value for triggering a protocol reset when ACC_ERR_CNT crosses this threshold.
RESERVED 0x43, 0x42 NOTE : Reserved for future use
VERSION2 0x44 Version in Safe Channel 2 (Identical to VERSION register)
NOTE : Different implementation from SICK HDSL MASTER IP Core
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x44 instead of 0x0B)
7:4 Major Release Number
3:0 Minor Release Number
ENC2_ID 0x45 Encoder ID in Safe Channel 2
NOTE : Not available in TI HDSL Solution
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x45 instead of 0x0F)
STATUS2 0x46 Safe Channel 2 Status
  • This register contains the status information for Safe Channel 2 of the HDSL motor feedback system.
  • A summary of the contents is also available in the SUM2 bit of Online Status 2.
    NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x46 instead of 0x18)
7 TOG2: Safe Channel 2 toggle bit For successive position transmissions on Safe Channel 2, TOG2 must always toggle between “0” and “1”. The starting value for TOG2 is “0”. If the toggle bit does not change its value, it is probable that a transmission error occurred and the transmitted absolute value for Safe Channel 2 is invalid. Suitable measures must be installed in the user application.
6 TEST2: Safe Channel 2 has just been tested TEST2 is set if a test is carried out during the currently available Safe Channel 2 status and position values.TEST2 can only be valid if the user application has previously requested a test. Corresponding error indications for TEST2 are either the ERR2 bit or a discrepancy between the position and the CRC of Safe Channel 2.
5 ERR2: Safe Channel 2, position error
  • 0 = The last safe position received in Safe Channel 2 is correct.
  • 1 = The last safe position received in Safe Channel 2 is invalid. Suitable measures must be installed in the user application.
4:0 FIX2: Safe Channel 2, fixed bit pattern The standard value of the fixed bit pattern is “11100”. All other values indicate an error on Safe Channel 2 of the DSL system. Suitable measures must be installed in the user application.
VPOS24 0x47 Safe Position, Channel 2 (Byte 4)
  • The VPOS2 registers for the safe position contain the position value from the secondary channel of the motor feedback system connected.
  • This safe position is transmitted in every eighth protocol frame if the validity of the data transfer has been checked.
  • Only those VPOS2 bits are relevant that lie within the range that the motor feedback system has actually measured.
  • Also, typically channel 2 has a lower resolution than channel 1.
  • The units of the position value are (steps).
    NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x47 instead of 0x19)
7:0 Byte 4 of position value at motor feedback system Safe Channel 2 (length: 40 bits), as an absolute value complement.
VPOS23 0x48 Safe Position, Channel 2 (Byte 3)
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x48 instead of 0x1A)
7:0 Byte 3 of position value at motor feedback system Safe Channel 2 (length: 40 bits), as an absolute value complement.
VPOS22 0x49 Safe Position, Channel 2 (Byte 2)
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x49 instead of 0x1B)
7:0 Byte 2 of position value at motor feedback system Safe Channel 2 (length: 40 bits), as an absolute value complement.
VPOS21 0x4A Safe Position, Channel 2 (Byte 1)
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x4A instead of 0x1C)
7:0 Byte 1 of position value at motor feedback system Safe Channel 2 (length: 40 bits), as an absolute value complement.
VPOS20 0x4B Safe Position, Channel 2 (Byte 0)
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x4B instead of 0x1D)
7:0 Byte 0 of position value at motor feedback system Safe Channel 2 (length: 40 bits), as an absolute value complement.
VPOSCRC2_H 0x4C Position checksum, Channel 2 (High Byte)
  • The VPOSCRC2 registers for the position checksum contain the CRC checksum of the safe position VPOS2 and STATUS2.
  • The CRC is checked in the DSL Master IP Core.
  • In order to guarantee, in a safety related application, that the CRC machine in the IP Core is functioning, these registers can be checked with an external cross check in the diagnostics test interval.
  • The CRC is generated with the following CRC parameters:
    • CRC Sequence : 16 Bit
    • CRC Polynomial : 0xC86C (x16 + x15 + x12 + x7 + x6 + x4 + x3 + 1), Normal representation: 0x90D9
    • Starting Value : 0x0000
    • Closing XOR Value : 0x00FF
    • Reverse Data Bytes : No
    • Reverse CRC before closing XOR : No
    • Sequence of the bytes for calculation : STATUS2, VPOS24, VPOS23, VPOS22, VPOS21, VPOS20
      NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x4C instead of 0x1E)
7:0 Byte 1 of 16 bit CRC checksum (CRC 16)of the safe position and status summary in Safe Channel 2.
VPOSCRC2_L 0x4D Position checksum, Channel 2 (Low Byte)
NOTE : Register address is different from SICK HDSL MASTER IP Core (TI implementation uses 0x4D instead of 0x1F)
7:0 Byte 0 of 16 bit CRC checksum (CRC 16) of the safe position and status summary in Safe Channel 2.
POSTX 0x4E Position Transmission Status
NOTE : Different implementation from SICK HDSL MASTER IP Core
7:2 NOTE : Reserved (Read as "0")
1:0 - 0: Position request is transmitted to the DSL encoder
  • 1: Reserved
  • 2: Fast position was received or position newly updated by estimator
  • 3: Safe position 1 and 2 were received
RESERVED 0x4F NOTE : Reserved for future use
ONLINE_STATUS_D_H 0x50 Online Status D (High Byte)
  • The Online Status D is a non-storing copy of registers EVENT_H and EVENT_L. The static information in these event registers must be deleted by the user after the read process, by writing the value "0" to the corresponding bit in the register, whilst the Online Status D only shows the current status without storing previous indications.
7 INT: Status of the Interrupt output
NOTE : Not available in TI HDSL Solution
6 SUM: Summary byte
  • 0 = The last valid value from SAFE_SUM was zero.
  • 1 = The last valid value from SAFE_SUM was not zero. The importance of this flag depends on the particular error source that leads to a set SAFE_SUM.
    NOTE : Different implementation from SICK HDSL MASTER IP Core
    SAFE_SUM is used instead of MIR_SUM.
5 FIX0: This bit always gives a “0”.
4 FIX1: This bit always gives a “1”.
3 POS: Estimator turned on
  • 0 = No fast position error.
  • 1 = A source of an error in the fast position was identified or an alignment procedure is currently being carried out. It is probable that the last fast position is invalid.
2 FIX0: This bit always gives a “0”.
1 DTE: Estimator Deviation Threshold Error
  • 0 = Current value of deviation smaller than the specified maximum.
  • 1 = Current value of deviation greater than the specified maximum.
    NOTE : Not available in TI HDSL Solution.
0 PRST: Protocol reset
  • 0 = Normal protocol action
  • 1 = The forced protocol reset was triggered
ONLINE_STATUS_D_L 0x51 Online Status D (Low Byte)
7:6 FIX0: This bit always gives a “0”.
NOTE : Different implementation from SICK HDSL MASTER IP Core
5 MIN: Acknowledgment of message initialization
  • 0 = Parameter Channel not functioning.
  • 1 = The DSL encoder sends a figure by which the initialization of the Parameter Channel is acknowledged.
4 ANS: Incorrect answer detected.
  • 0 = No error detected in the last answer to a long message.
  • 1 = The last answer to a long message was damaged.
3 FIX0: This bit always gives a “0”.
2 QMLW: Quality monitoring at Low level
  • 0 = Current value of quality monitoring greater than or equal to 14.
  • 1 = Current value of quality monitoring less than 14.
1 FREL: Channel status for “long message”.
  • 0 = The channel for the “long message” is in use.
  • 1 = The channel for the “long message” is free.
0 FIX0: This bit always gives a “0”.
ONLINE_STATUS_1_H 0x52 Online Status 1 (High Byte)
  • The Online Status D is a non-storing copy of registers EVENT_S. The static information in the event register must be deleted by the user after the read process, by writing the value "0" to the corresponding bit in the register, whilst the Online Status 1 only shows the current status without storing previous indications.
  • All fault indications in Online Status 1 are potentially critical and safety-related. Suitable measures must be installed in the user application.
7 SINT: Status of the Interrupt output
NOTE : Not available in TI HDSL Solution
6 SSUM: Safe Summary bit
  • 0 = The last valid value from SAFE_SUM was zero.
  • 1 = The last valid value from SAFE_SUM was not zero. The importance of this flag depends on the particular error source that leads to a set SAFE_SUM.
5 SCE: CRC error on the Safe Channel
  • 0 = The last Safe Channel 1 CRC received was correct.
  • 1 = The last Safe Channel 1 CRC received was wrong. It is expected that the last safe position 1 transmitted is invalid.
4 FIX1: This bit always gives a “1”.
3 FIX0: This bit always gives a “0”.
2 VPOS: Safe position invalid
  • 0 = The last safe position received was correct.
  • 1 = An error in the safe position was identified. It is expected that the safe position transmitted from the encoder is invalid.
1 FIX0: This bit always gives a “0”.
0 PRST: Protocol reset
  • 0 = Normal protocol action
  • 1 = The forced protocol reset was triggered
ONLINE_STATUS_1_L 0x53 Online Status 1 (Low Byte)
7:6 FIX0: This bit always gives a “0”.
NOTE : Different implementation from SICK HDSL MASTER IP Core
5 MIN: Acknowledgment of message initialization
  • 0 = Parameter Channel not functioning.
  • 1 = The DSL encoder sends a figure by which the initialization of the Parameter Channel is acknowledged.
4:3 FIX0: This bit always gives a “0”.
2 QMLW: Quality monitoring at Low level
  • 0 = Current value of quality monitoring greater than or equal to 14.
  • 1 = Current value of quality monitoring less than 14.
1 FIX0: This bit always gives a “0”.
0 FRES: Channel status for the “short message”.
  • 0 = The channel for the “short message” is in use.
  • 1 = The channel for the “short message” is free.
ONLINE_STATUS_2_H 0x54 Online Status 2 (High Byte)
  • Online Status 2 provides information about Safe Channel 2 of the DSL encoder.
  • The data always indicate the current status, with previous indications not being stored.
  • All fault indications in Online Status 2 are potentially critical and safety-related. Suitable measures must be installed in the user application.
7 FIX0: This bit always gives a “0”.
6 SUM2: Summary byte Channel 2
  • 0 = Neither TEST2 nor ERR2 is set.
  • 1 = One of the indications TEST2 or ERR2 is set. The error reaction to this flag depends on the meaning of the bit they are based on.
5 SCE2: Transmission error Channel 2
  • 0 = The last data received in Channel 2 was correct.
  • 1 = The last Safe Channel 2 CRC received was wrong. It is expected that the last safe position 2 transmitted is invalid. Suitable measures must be installed in the user application.
4 FIX1: This bit always gives a “1”.
3 FIX0: This bit always gives a “0”.
2 VPOS2: Safe position Channel 2 invalid
  • 0 = The last safe position received in Channel 2 was correct.
  • 1 = A source of an error in the safe position in Channel 2 was identified. It is probable that the safe position transmitted from Channel 2 is invalid. Suitable measures must be installed in the user application.
1 FIX0: This bit always gives a “0”.
0 PRST: Protocol reset
  • 0 = Normal protocol action
  • 1 = The forced protocol reset was triggered
ONLINE_STATUS_2_L 0x55 Online Status 2 (Low Byte)
7:3 FIX0: These bits always gives a “0”.
NOTE : Different implementation from SICK HDSL MASTER IP Core
2 QMLW: Quality monitoring at Low level
  • 0 = Current value of quality monitoring greater than or equal to 14.
  • 1 = Current value of quality monitoring less than 14.
1:0 FIX0: These bits always gives a “0”.