AM64x MCU+ SDK  10.00.00
ospi/v0/ospi.h
Go to the documentation of this file.
1 /*
2  * Copyright (C) 2021 Texas Instruments Incorporated
3  *
4  * Redistribution and use in source and binary forms, with or without
5  * modification, are permitted provided that the following conditions
6  * are met:
7  *
8  * Redistributions of source code must retain the above copyright
9  * notice, this list of conditions and the following disclaimer.
10  *
11  * Redistributions in binary form must reproduce the above copyright
12  * notice, this list of conditions and the following disclaimer in the
13  * documentation and/or other materials provided with the
14  * distribution.
15  *
16  * Neither the name of Texas Instruments Incorporated nor the names of
17  * its contributors may be used to endorse or promote products derived
18  * from this software without specific prior written permission.
19  *
20  * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
21  * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
22  * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
23  * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
24  * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
25  * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
26  * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
27  * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
28  * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
29  * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
30  * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
31  */
32 
50 #ifndef OSPI_H_
51 #define OSPI_H_
52 
53 /* ========================================================================== */
54 /* Include Files */
55 /* ========================================================================== */
56 
57 #include <stdint.h>
58 #include <kernel/dpl/SystemP.h>
59 #include <kernel/dpl/HwiP.h>
60 #include <kernel/dpl/SemaphoreP.h>
61 #include <drivers/hw_include/csl_types.h>
62 #include <drivers/hw_include/cslr_ospi.h>
63 
64 #ifdef __cplusplus
65 extern "C" {
66 #endif
67 
68 /* ========================================================================== */
69 /* Macros & Typedefs */
70 /* ========================================================================== */
71 
73 typedef void *OSPI_Handle;
74 
83 #define OSPI_TRANSFER_COMPLETED (0U)
84 #define OSPI_TRANSFER_STARTED (1U)
85 #define OSPI_TRANSFER_CANCELLED (2U)
86 #define OSPI_TRANSFER_FAILED (3U)
87 #define OSPI_TRANSFER_CSN_DEASSERT (4U)
88 #define OSPI_TRANSFER_TIMEOUT (5U)
89 
110 #define OSPI_TRANSFER_MODE_BLOCKING (0U)
111 
115 #define OSPI_TRANSFER_MODE_CALLBACK (1U)
116 
120 #define OSPI_TRANSFER_MODE_POLLING (2U)
121 
137 #define OSPI_FF_POL0_PHA0 (0U)
138 #define OSPI_FF_POL0_PHA1 (CSL_OSPI_FLASH_CFG_CONFIG_REG_SEL_CLK_PHASE_FLD_MASK | 0U)
139 #define OSPI_FF_POL1_PHA0 (0U | CSL_OSPI_FLASH_CFG_CONFIG_REG_SEL_CLK_POL_FLD_MASK)
140 #define OSPI_FF_POL1_PHA1 (CSL_OSPI_FLASH_CFG_CONFIG_REG_SEL_CLK_PHASE_FLD_MASK | \
141  CSL_OSPI_FLASH_CFG_CONFIG_REG_SEL_CLK_POL_FLD_MASK)
142 
152 #define OSPI_CMD_INVALID_OPCODE (0xFFU)
153 #define OSPI_CMD_INVALID_DUMMY (0xFFU)
154 #define OSPI_CMD_INVALID_ADDR (0xFFFFFFFFU)
155 
165 #define OSPI_CMD_EXT_TYPE_REPEAT (0x00U)
166 #define OSPI_CMD_EXT_TYPE_INVERSE (0x01U)
167 #define OSPI_CMD_EXT_TYPE_NONE (0x02U)
168 
178 #define OSPI_NOR_PROTOCOL(cmd, addr, data, dtr) (uint32_t)(((uint32_t)(dtr) << 24) | \
179  ((uint32_t)(cmd) << 16) | \
180  ((uint32_t)(addr) << 8) | \
181  ((uint32_t)(data) << 0))
182 #define OSPI_NOR_PROTOCOL_INVALID (uint32_t)(0xFFFFFFFF)
183 
184 #define OSPI_NAND_PROTOCOL(cmd, addr, data, dtr) (uint32_t)(((uint32_t)(dtr) << 24) | \
185  ((uint32_t)(cmd) << 16) | \
186  ((uint32_t)(addr) << 8) | \
187  ((uint32_t)(data) << 0))
188 #define OSPI_NAND_PROTOCOL_INVALID (uint32_t)(0xFFFFFFFF)
189 
199 #define OSPI_CS0 (0U)
200 #define OSPI_CS1 (1U)
201 #define OSPI_CS2 (2U)
202 #define OSPI_CS3 (3U)
203 
204 #define OSPI_CHIP_SELECT(x) ((~((1U) << (x))) & 0xFU)
205 
207 #define OSPI_RESETPIN_DQ3 (0U)
208 #define OSPI_RESETPIN_DEDICATED (1U)
209 
219 #define CSL_OSPI_BAUD_RATE_DIVISOR(x) (((x) - 2U) >> 1U)
220 #define MAX_BAUDRATE_DIVIDER (32U)
221 #define CSL_OSPI_BAUD_RATE_DIVISOR_DEFAULT (CSL_OSPI_BAUD_RATE_DIVISOR(MAX_BAUDRATE_DIVIDER))
222 
230 #define OSPI_DECODER_SELECT4 ((uint32_t) 0U)
231 #define OSPI_DECODER_SELECT16 ((uint32_t) 1U)
232 
251 #define OSPI_FLASH_CFG_PHY_MASTER_CONTROL_REG_PHY_MASTER_MODE (0U)
252 #define OSPI_FLASH_CFG_PHY_MASTER_CONTROL_REG_PHY_BYPASS_MODE (1U)
253 #define OSPI_FLASH_ATTACK_VECTOR_SIZE (128U)
254 
266 #define OSPI_PHY_DLL_FULL_CYCLE_LOCK ((uint16_t) 0U)
267 #define OSPI_PHY_DLL_HALF_CYCLE_LOCK ((uint16_t) 1U)
268 
271 /* ========================================================================== */
272 /* Structure Declarations */
273 /* ========================================================================== */
281 typedef struct
282 {
283  uint32_t count;
285  void *buf;
287  uint32_t addrOffset;
289  uint32_t status;
291  uint32_t transferTimeout;
294 
295 typedef struct
296 {
297  uint8_t cmd;
299  uint32_t cmdAddr;
302  uint8_t numAddrBytes;
304  void *txDataBuf;
306  uint32_t txDataLen;
309 
310 typedef struct
311 {
312  uint8_t cmd;
314  uint32_t cmdAddr;
317  uint8_t numAddrBytes;
319  uint8_t dummyBits;
321  void *rxDataBuf;
323  uint16_t rxDataLen;
326 
335 typedef struct
336 {
337  uint32_t regionStartAddr;
339  uint32_t regionSize;
343 
354 typedef struct
355 {
356  int32_t ospiDmaChIndex;
357  /* Index of Channel used by OSPI DMA Driver. This index will be set by SysCfg according to the DMA driver chosen.
358  * The OSPI driver uses this index to do an \ref OSPI_dmaOpen inside the \ref OSPI_open if the DMA mode is enabled
359  */
360 } OSPI_Params;
361 
369 typedef struct
370 {
376  int32_t rxLowSearchEnd;
380  int32_t txLowSearchEnd;
385  uint32_t rdDelayMin;
386  uint32_t rdDelayMax;
388 
397 typedef struct
398 {
400  uint32_t phyControlMode;
401  uint32_t dllLockMode;
404 
406 typedef struct
407 {
408  /*
409  * SOC configuration
410  */
411  uint32_t baseAddr;
413  uint32_t dataBaseAddr;
415  uint32_t inputClkFreq;
418  /*
419  * Driver configuration
420  */
421  uint32_t intrNum;
423  uint32_t intrEnable;
425  uint8_t intrPriority;
427  uint32_t dmaEnable;
429  uint32_t phyEnable;
431  uint32_t dacEnable;
433  uint32_t frmFmt;
435  uint32_t devDelays[4];
437  uint32_t chipSelect;
439  uint32_t decChipSelect;
441  uint32_t baudRateDiv;
451 } OSPI_Attrs;
452 
457 typedef struct
458 {
459  /*
460  * User params
461  */
464  uint32_t transferMode;
466  uint32_t protocol;
473  uint32_t rdDummyCycles;
475  uint32_t cmdDummyCycles;
477  uint32_t rdDataCapDelay;
481  uint32_t numAddrBytes;
486  uint32_t cmdExtType;
489  /*
490  * State variables
491  */
492  uint32_t isOpen;
494  uint32_t isDacEnable;
508 } OSPI_Object;
509 
510 typedef struct
511 {
516 } OSPI_Config;
517 
519 extern OSPI_Config gOspiConfig[];
521 extern uint32_t gOspiConfigNum;
522 
523 /* ========================================================================== */
524 /* Internal/Private Structure Declarations */
525 /* ========================================================================== */
526 
527 /* ========================================================================== */
528 /* Function Declarations */
529 /* ========================================================================== */
530 
534 void OSPI_init(void);
535 
539 void OSPI_deinit(void);
540 
541 
547 void OSPI_Params_init( OSPI_Params *ospiParams);
548 
563 OSPI_Handle OSPI_open(uint32_t index, const OSPI_Params *openParams);
564 
574 void OSPI_close(OSPI_Handle handle);
575 
589 
608 
621 
634 
660 int32_t OSPI_readCmd(OSPI_Handle handle, OSPI_ReadCmdParams *rdParams);
661 
673 int32_t OSPI_writeCmd(OSPI_Handle handle, OSPI_WriteCmdParams *wrParams);
674 
683 
692 
701 
711 uint32_t OSPI_getInputClk(OSPI_Handle handle);
712 
722 uint32_t OSPI_isDacEnable(OSPI_Handle handle);
723 
733 uint32_t OSPI_isDmaEnable(OSPI_Handle handle);
734 
745 
755 uint32_t OSPI_isPhyEnable(OSPI_Handle handle);
756 
767 uint32_t OSPI_isDtrEnable(OSPI_Handle handle);
768 
778 int32_t OSPI_enableDDR(OSPI_Handle handle);
779 
789 int32_t OSPI_enableSDR(OSPI_Handle handle);
790 
801 
812 int32_t OSPI_setRdDataCaptureDelay(OSPI_Handle handle, uint32_t rdDataCapDelay);
813 
823 void OSPI_setNumAddrBytes(OSPI_Handle handle, uint32_t numAddrBytes);
824 
835 void OSPI_setDeviceSize(OSPI_Handle handle, uint32_t pageSize, uint32_t blkSize);
836 
846 void OSPI_setCmdDummyCycles(OSPI_Handle handle, uint32_t cmdDummyCycles);
847 
857 void OSPI_setReadDummyCycles(OSPI_Handle handle, uint32_t dummyCycles);
858 
868 void OSPI_setPhyEnableSuccess(OSPI_Handle handle, uint32_t success);
869 
879 void OSPI_setModeBits(OSPI_Handle handle, uint32_t modeBits);
880 
890 
900 
911 
921 void OSPI_cmdModeBitSet(OSPI_Handle handle, uint32_t enable);
922 
932 void OSPI_rdModeBitSet(OSPI_Handle handle, uint32_t enable);
933 
945 uint32_t OSPI_getProtocol(OSPI_Handle handle);
946 
957 void OSPI_setProtocol(OSPI_Handle handle, uint32_t protocol);
958 
968 
978 
989 void OSPI_setXferOpCodes(OSPI_Handle handle, uint8_t readCmd, uint8_t pageProgCmd);
990 
1000 void OSPI_setCmdExtType(OSPI_Handle handle, uint32_t cmdExtType);
1001 
1012 
1023 
1034 
1046 int32_t OSPI_phyTuneDDR(OSPI_Handle handle, uint32_t flashOffset);
1047 
1048 int32_t OSPI_phyTuneSDR(OSPI_Handle handle, uint32_t flashOffset);
1049 
1063 int32_t OSPI_phyTuneGrapher(OSPI_Handle handle, uint32_t flashOffset, uint8_t arrays[4][128][128]);
1064 
1072 void OSPI_phyGetTuningData(uint32_t *tuningData, uint32_t *tuningDataSize);
1073 
1083 int32_t OSPI_phyReadAttackVector(OSPI_Handle handle, uint32_t offset);
1084 
1094 int32_t OSPI_enablePhy(OSPI_Handle handle);
1095 
1106 
1117 
1128 
1129 
1150 
1163 void OSPI_norFlashSetCmds(uint8_t rdCmd, uint8_t wrCmd, uint8_t eraseCmd);
1164 
1176 int32_t OSPI_norFlashReadId(OSPI_Handle handle, uint32_t *manufacturerId, uint32_t *deviceId);
1177 
1190 int32_t OSPI_norFlashWrite(OSPI_Handle handle, uint32_t offset, uint8_t *buf, uint32_t len);
1191 
1204 int32_t OSPI_norFlashRead(OSPI_Handle handle, uint32_t offset, uint8_t *buf, uint32_t len);
1205 
1218 int32_t OSPI_norFlashReadSfdp(OSPI_Handle handle, uint32_t offset, uint8_t *buf, uint32_t len);
1219 
1230 int32_t OSPI_norFlashErase(OSPI_Handle handle, uint32_t address);
1231 
1232 
1243 int32_t OSPI_configResetPin(OSPI_Handle handle, uint32_t config);
1244 
1245 
1256 int32_t OSPI_configBaudrate(OSPI_Handle handle, uint32_t baud);
1257 
1268 int32_t OSPI_readBaudRateDivFromReg(OSPI_Handle handle, uint32_t *baudDiv);
1269 
1280 int32_t OSPI_getBaudRateDivFromObj(OSPI_Handle handle, uint32_t *baudDiv);
1281 
1286 #ifdef __cplusplus
1287 }
1288 #endif
1289 
1290 #endif /* #ifndef OSPI_H_ */
1291 
OSPI_norFlashWrite
int32_t OSPI_norFlashWrite(OSPI_Handle handle, uint32_t offset, uint8_t *buf, uint32_t len)
This function writes data to the flash at a specified offset.
OSPI_setProtocol
void OSPI_setProtocol(OSPI_Handle handle, uint32_t protocol)
This function sets the number of transfer lines in the OSPI driver to set the requested protocol.
OSPI_isDacEnable
uint32_t OSPI_isDacEnable(OSPI_Handle handle)
This function checks if the Direct Access Controller mode is enabled.
OSPI_AddrRegion::regionSize
uint32_t regionSize
Definition: ospi/v0/ospi.h:339
OSPI_setCmdDummyCycles
void OSPI_setCmdDummyCycles(OSPI_Handle handle, uint32_t cmdDummyCycles)
This function sets appropriate dummy cycles to be used while sending STIG commands to flash.
OSPI_ReadCmdParams::rxDataLen
uint16_t rxDataLen
Definition: ospi/v0/ospi.h:323
OSPI_PhyWindowParams::txDllLowWindowEnd
int32_t txDllLowWindowEnd
Definition: ospi/v0/ospi.h:372
OSPI_Transaction::status
uint32_t status
Definition: ospi/v0/ospi.h:289
OSPI_ReadCmdParams::numAddrBytes
uint8_t numAddrBytes
Definition: ospi/v0/ospi.h:317
OSPI_PhyWindowParams::txDllLowWindowStart
int32_t txDllLowWindowStart
Definition: ospi/v0/ospi.h:371
OSPI_ReadCmdParams
Definition: ospi/v0/ospi.h:311
OSPI_Transaction_init
void OSPI_Transaction_init(OSPI_Transaction *trans)
Function to initialize the OSPI_Transaction structure.
OSPI_PhyConfiguration::tuningWindowParams
OSPI_PhyWindowParams tuningWindowParams
Definition: ospi/v0/ospi.h:402
OSPI_setRdDataCaptureDelay
int32_t OSPI_setRdDataCaptureDelay(OSPI_Handle handle, uint32_t rdDataCapDelay)
This function sets read data capture cycles in the OSPI controller.
OSPI_WriteCmdParams
Definition: ospi/v0/ospi.h:296
OSPI_Object::rdDataCapDelay
uint32_t rdDataCapDelay
Definition: ospi/v0/ospi.h:477
OSPI_disablePhyPipeline
int32_t OSPI_disablePhyPipeline(OSPI_Handle handle)
This function disables the PHY Pipeline.
OSPI_ReadCmdParams_init
void OSPI_ReadCmdParams_init(OSPI_ReadCmdParams *rdParams)
Function to initialize the OSPI_ReadCmdParams structure.
OSPI_configBaudrate
int32_t OSPI_configBaudrate(OSPI_Handle handle, uint32_t baud)
Configures baud divider.
OSPI_enableSDR
int32_t OSPI_enableSDR(OSPI_Handle handle)
This function enables the Single Data Rate (SDR)
OSPI_Transaction::transferTimeout
uint32_t transferTimeout
Definition: ospi/v0/ospi.h:291
OSPI_Attrs::dacEnable
uint32_t dacEnable
Definition: ospi/v0/ospi.h:431
OSPI_readBaudRateDivFromReg
int32_t OSPI_readBaudRateDivFromReg(OSPI_Handle handle, uint32_t *baudDiv)
Return value of baudrate that is programmed in IP register.
OSPI_norFlashReadSfdp
int32_t OSPI_norFlashReadSfdp(OSPI_Handle handle, uint32_t offset, uint8_t *buf, uint32_t len)
This function reads SFDP table from the flash from a specified offset.
OSPI_Object::cmdExtType
uint32_t cmdExtType
Definition: ospi/v0/ospi.h:486
OSPI_Transaction
Data structure used with OSPI_Transfers - OSPI_readDirect, OSPI_writeDirect, OSPI_readIndirect,...
Definition: ospi/v0/ospi.h:282
OSPI_WriteCmdParams::cmdAddr
uint32_t cmdAddr
Definition: ospi/v0/ospi.h:299
OSPI_phyReadAttackVector
int32_t OSPI_phyReadAttackVector(OSPI_Handle handle, uint32_t offset)
This function checks if the attack vector, or the data used for tuning the PHY is present at an offse...
OSPI_norFlashRead
int32_t OSPI_norFlashRead(OSPI_Handle handle, uint32_t offset, uint8_t *buf, uint32_t len)
This function reads data from the flash from a specified offset.
OSPI_Attrs::intrPriority
uint8_t intrPriority
Definition: ospi/v0/ospi.h:425
index
uint16_t index
Definition: tisci_rm_proxy.h:3
OSPI_getInputClk
uint32_t OSPI_getInputClk(OSPI_Handle handle)
This function returns the input clk frequency OSPI was programmed at.
OSPI_phyTuneSDR
int32_t OSPI_phyTuneSDR(OSPI_Handle handle, uint32_t flashOffset)
SystemP.h
OSPI_init
void OSPI_init(void)
This function initializes the OSPI module.
OSPI_enableDdrRdCmds
int32_t OSPI_enableDdrRdCmds(OSPI_Handle handle)
This function sets DDR bit in INSTR_RD register for RD commands.
OSPI_PhyConfiguration
OSPI PHY Configuration.
Definition: ospi/v0/ospi.h:398
OSPI_norFlashErase
int32_t OSPI_norFlashErase(OSPI_Handle handle, uint32_t address)
This function erases 1 block of data starting from a provided address.
OSPI_deinit
void OSPI_deinit(void)
This function de-initializes the OSPI module.
OSPI_setDualOpCodeMode
void OSPI_setDualOpCodeMode(OSPI_Handle handle)
This function sets OSPI controller to use dual byte opcodes.
OSPI_PhyWindowParams::rxLowSearchEnd
int32_t rxLowSearchEnd
Definition: ospi/v0/ospi.h:376
OSPI_setDeviceSize
void OSPI_setDeviceSize(OSPI_Handle handle, uint32_t pageSize, uint32_t blkSize)
This function sets the block size and page size of the flash to the device size register in OSPI.
OSPI_Params_init
void OSPI_Params_init(OSPI_Params *ospiParams)
Initialize data structure with defaults.
OSPI_PhyWindowParams::rxHighSearchStart
int32_t rxHighSearchStart
Definition: ospi/v0/ospi.h:377
OSPI_isDtrEnable
uint32_t OSPI_isDtrEnable(OSPI_Handle handle)
This function checks if the Dual Transfer Rate (Sampling on both rising and falling edge of the clock...
OSPI_Object::handle
OSPI_Handle handle
Definition: ospi/v0/ospi.h:462
OSPI_ReadCmdParams::cmdAddr
uint32_t cmdAddr
Definition: ospi/v0/ospi.h:314
OSPI_Attrs::dataBaseAddr
uint32_t dataBaseAddr
Definition: ospi/v0/ospi.h:413
OSPI_Transaction::count
uint32_t count
Definition: ospi/v0/ospi.h:283
OSPI_Attrs::baudRateDiv
uint32_t baudRateDiv
Definition: ospi/v0/ospi.h:441
OSPI_PhyConfiguration::dllLockMode
uint32_t dllLockMode
Definition: ospi/v0/ospi.h:401
OSPI_Params
OSPI Parameters.
Definition: ospi/v0/ospi.h:355
OSPI_PhyWindowParams::txDLLSearchOffset
int32_t txDLLSearchOffset
Definition: ospi/v0/ospi.h:383
OSPI_PhyWindowParams::txHighSearchStart
int32_t txHighSearchStart
Definition: ospi/v0/ospi.h:381
OSPI_norFlashReadId
int32_t OSPI_norFlashReadId(OSPI_Handle handle, uint32_t *manufacturerId, uint32_t *deviceId)
This function tries to read the JEDEC ID from the NOR flash connected to the OSPI peripheral.
OSPI_setXferOpCodes
void OSPI_setXferOpCodes(OSPI_Handle handle, uint8_t readCmd, uint8_t pageProgCmd)
This function sets the opcodes for reading and page programming the flash.
OSPI_getHandle
OSPI_Handle OSPI_getHandle(uint32_t index)
This function returns the handle of an open OSPI Instance from the instance index.
SemaphoreP.h
OSPI_PhyWindowParams::txDllHighWindowStart
int32_t txDllHighWindowStart
Definition: ospi/v0/ospi.h:373
OSPI_Object::transferMode
uint32_t transferMode
Definition: ospi/v0/ospi.h:464
OSPI_WriteCmdParams::txDataLen
uint32_t txDataLen
Definition: ospi/v0/ospi.h:306
OSPI_WriteCmdParams::txDataBuf
void * txDataBuf
Definition: ospi/v0/ospi.h:304
OSPI_disableDacMode
int32_t OSPI_disableDacMode(OSPI_Handle handle)
This function disables the Direct Access Mode.
OSPI_phyTuneGrapher
int32_t OSPI_phyTuneGrapher(OSPI_Handle handle, uint32_t flashOffset, uint8_t arrays[4][128][128])
This function takes a 4x128x128 array and fills it with TX RX DLL data for graphing purpose.
OSPI_Attrs::inputClkFreq
uint32_t inputClkFreq
Definition: ospi/v0/ospi.h:415
OSPI_getProtocol
uint32_t OSPI_getProtocol(OSPI_Handle handle)
This function returns the current protocol for which the transfer lines in OSPI driver is configured ...
OSPI_setNumAddrBytes
void OSPI_setNumAddrBytes(OSPI_Handle handle, uint32_t numAddrBytes)
This function set the number of bytes used to send address while reading or writing to flash memory.
OSPI_Attrs::dmaRestrictedRegions
const OSPI_AddrRegion * dmaRestrictedRegions
Definition: ospi/v0/ospi.h:443
OSPI_PhyWindowParams::rxHighSearchEnd
int32_t rxHighSearchEnd
Definition: ospi/v0/ospi.h:378
OSPI_Object::cmdDummyCycles
uint32_t cmdDummyCycles
Definition: ospi/v0/ospi.h:475
OSPI_Attrs
OSPI instance attributes - used during init time.
Definition: ospi/v0/ospi.h:407
OSPI_getFlashDataBaseAddr
uint32_t OSPI_getFlashDataBaseAddr(OSPI_Handle handle)
This function gets the SOC mapped data base address of the flash.
OSPI_Object
OSPI driver object.
Definition: ospi/v0/ospi.h:458
OSPI_PhyWindowParams::txDllHighWindowEnd
int32_t txDllHighWindowEnd
Definition: ospi/v0/ospi.h:374
OSPI_readDirect
int32_t OSPI_readDirect(OSPI_Handle handle, OSPI_Transaction *trans)
Function to perform direct reads from the flash using DAC controller.
OSPI_phyGetTuningData
void OSPI_phyGetTuningData(uint32_t *tuningData, uint32_t *tuningDataSize)
This function returns the address to the attack vector buf required for tuning the PHY.
OSPI_clearDualOpCodeMode
void OSPI_clearDualOpCodeMode(OSPI_Handle handle)
This function sets OSPI controller to not use dual byte opcodes.
OSPI_Object::rdDummyCycles
uint32_t rdDummyCycles
Definition: ospi/v0/ospi.h:473
OSPI_Attrs::chipSelect
uint32_t chipSelect
Definition: ospi/v0/ospi.h:437
OSPI_Object::isOpen
uint32_t isOpen
Definition: ospi/v0/ospi.h:492
OSPI_PhyWindowParams
OSPI PHY Tuning Window Parameters.
Definition: ospi/v0/ospi.h:370
OSPI_ReadCmdParams::rxDataBuf
void * rxDataBuf
Definition: ospi/v0/ospi.h:321
OSPI_writeIndirect
int32_t OSPI_writeIndirect(OSPI_Handle handle, OSPI_Transaction *trans)
Function to perform indirect writes to the flash using INDAC controller.
OSPI_WriteCmdParams::cmd
uint8_t cmd
Definition: ospi/v0/ospi.h:297
OSPI_PhyWindowParams::rxLowSearchStart
int32_t rxLowSearchStart
Definition: ospi/v0/ospi.h:375
OSPI_Object::protocol
uint32_t protocol
Definition: ospi/v0/ospi.h:466
OSPI_enablePhyPipeline
int32_t OSPI_enablePhyPipeline(OSPI_Handle handle)
This function enables the PHY Pipeline.
OSPI_norFlashInit1s1s1s
int32_t OSPI_norFlashInit1s1s1s(OSPI_Handle handle)
This function initializes the NOR flash to work in 1-1-1 mode.
OSPI_isPhyEnable
uint32_t OSPI_isPhyEnable(OSPI_Handle handle)
This function checks if the OSPI PHY controller is enabled.
OSPI_Object::isDacEnable
uint32_t isDacEnable
Definition: ospi/v0/ospi.h:494
HwiP.h
OSPI_writeCmd
int32_t OSPI_writeCmd(OSPI_Handle handle, OSPI_WriteCmdParams *wrParams)
Function to send specific commands and related data to flash.
OSPI_setPhyEnableSuccess
void OSPI_setPhyEnableSuccess(OSPI_Handle handle, uint32_t success)
This function sets the phyEnableSuccess field in OSPI_Object. Has to be called from flash driver.
OSPI_Params::ospiDmaChIndex
int32_t ospiDmaChIndex
Definition: ospi/v0/ospi.h:356
OSPI_enablePhy
int32_t OSPI_enablePhy(OSPI_Handle handle)
This function enables the PHY.
OSPI_Transaction::buf
void * buf
Definition: ospi/v0/ospi.h:285
OSPI_Object::phyEnableSuccess
uint32_t phyEnableSuccess
Definition: ospi/v0/ospi.h:502
OSPI_disablePhy
int32_t OSPI_disablePhy(OSPI_Handle handle)
This function disables the PHY.
OSPI_enableDDR
int32_t OSPI_enableDDR(OSPI_Handle handle)
This function enables the Dual Data Rate (DDR)
OSPI_Config
Definition: ospi/v0/ospi.h:511
OSPI_PhyWindowParams::rdDelayMax
uint32_t rdDelayMax
Definition: ospi/v0/ospi.h:386
OSPI_AddrRegion
OSPI Address Region.
Definition: ospi/v0/ospi.h:336
OSPI_Attrs::intrNum
uint32_t intrNum
Definition: ospi/v0/ospi.h:421
OSPI_PhyWindowParams::txHighSearchEnd
int32_t txHighSearchEnd
Definition: ospi/v0/ospi.h:382
OSPI_configResetPin
int32_t OSPI_configResetPin(OSPI_Handle handle, uint32_t config)
This function configures reset functionality.
OSPI_close
void OSPI_close(OSPI_Handle handle)
Function to close a OSPI peripheral specified by the OSPI handle.
OSPI_enableModeBitsRead
void OSPI_enableModeBitsRead(OSPI_Handle handle)
This function enables mode bits transmission while reading.
OSPI_Object::lockObj
SemaphoreP_Object lockObj
Definition: ospi/v0/ospi.h:496
OSPI_PhyWindowParams::txLowSearchStart
int32_t txLowSearchStart
Definition: ospi/v0/ospi.h:379
OSPI_WriteCmdParams::numAddrBytes
uint8_t numAddrBytes
Definition: ospi/v0/ospi.h:302
OSPI_PhyConfiguration::phyControlMode
uint32_t phyControlMode
Definition: ospi/v0/ospi.h:400
OSPI_isDmaEnable
uint32_t OSPI_isDmaEnable(OSPI_Handle handle)
This function checks if DMA is enabled for reads.
OSPI_enableModeBitsCmd
void OSPI_enableModeBitsCmd(OSPI_Handle handle)
This function enables mode bits transmission while sending CMDs.
HwiP_Object
Opaque Hwi object used with the Hwi APIs.
Definition: HwiP.h:93
OSPI_Object::hwiObj
HwiP_Object hwiObj
Definition: ospi/v0/ospi.h:500
OSPI_PhyWindowParams::txLowSearchEnd
int32_t txLowSearchEnd
Definition: ospi/v0/ospi.h:380
OSPI_ReadCmdParams::dummyBits
uint8_t dummyBits
Definition: ospi/v0/ospi.h:319
OSPI_Object::numAddrBytes
uint32_t numAddrBytes
Definition: ospi/v0/ospi.h:481
OSPI_Object::phyRdDataCapDelay
uint32_t phyRdDataCapDelay
Definition: ospi/v0/ospi.h:479
OSPI_norFlashSetCmds
void OSPI_norFlashSetCmds(uint8_t rdCmd, uint8_t wrCmd, uint8_t eraseCmd)
This function sets up internal bookkeeping variables for read, write and erase commands....
OSPI_setReadDummyCycles
void OSPI_setReadDummyCycles(OSPI_Handle handle, uint32_t dummyCycles)
This function sets appropriate dummy cycles for flash read.
OSPI_Object::ospiDmaHandle
void * ospiDmaHandle
Definition: ospi/v0/ospi.h:507
gOspiConfig
OSPI_Config gOspiConfig[]
Externally defined driver configuration array.
OSPI_isIntrEnable
uint32_t OSPI_isIntrEnable(OSPI_Handle handle)
This function checks if interrupts are enabled.
OSPI_Transaction::addrOffset
uint32_t addrOffset
Definition: ospi/v0/ospi.h:287
OSPI_Attrs::dmaEnable
uint32_t dmaEnable
Definition: ospi/v0/ospi.h:427
OSPI_Attrs::phyEnable
uint32_t phyEnable
Definition: ospi/v0/ospi.h:429
SemaphoreP_Object
Opaque semaphore object used with the semaphore APIs.
Definition: SemaphoreP.h:59
OSPI_AddrRegion::regionStartAddr
uint32_t regionStartAddr
Definition: ospi/v0/ospi.h:337
OSPI_PhyWindowParams::rdDelayMin
uint32_t rdDelayMin
Definition: ospi/v0/ospi.h:385
OSPI_getBaudRateDivFromObj
int32_t OSPI_getBaudRateDivFromObj(OSPI_Handle handle, uint32_t *baudDiv)
Return value of baudrate that is saved in OSPI Object.
OSPI_WriteCmdParams_init
void OSPI_WriteCmdParams_init(OSPI_WriteCmdParams *wrParams)
Function to initialize the OSPI_WriteCmdParams structure.
OSPI_setCmdExtType
void OSPI_setCmdExtType(OSPI_Handle handle, uint32_t cmdExtType)
This function sets the type of command extension used in dual byte opcode mode.
OSPI_open
OSPI_Handle OSPI_open(uint32_t index, const OSPI_Params *openParams)
This function opens a given OSPI peripheral.
OSPI_Object::currTrans
OSPI_Transaction * currTrans
Definition: ospi/v0/ospi.h:505
OSPI_Attrs::intrEnable
uint32_t intrEnable
Definition: ospi/v0/ospi.h:423
OSPI_enableDacMode
int32_t OSPI_enableDacMode(OSPI_Handle handle)
This function enables the Direct Access Mode.
OSPI_Handle
void * OSPI_Handle
A handle that is returned from a OSPI_open() call.
Definition: ospi/v0/ospi.h:73
OSPI_Attrs::baseAddr
uint32_t baseAddr
Definition: ospi/v0/ospi.h:411
OSPI_Attrs::frmFmt
uint32_t frmFmt
Definition: ospi/v0/ospi.h:433
OSPI_PhyWindowParams::rxTxDLLSearchStep
uint32_t rxTxDLLSearchStep
Definition: ospi/v0/ospi.h:384
gOspiConfigNum
uint32_t gOspiConfigNum
Externally defined driver configuration array size.
OSPI_ReadCmdParams::cmd
uint8_t cmd
Definition: ospi/v0/ospi.h:312
OSPI_writeDirect
int32_t OSPI_writeDirect(OSPI_Handle handle, OSPI_Transaction *trans)
Function to perform direct writes to the flash using DAC controller.
OSPI_setModeBits
void OSPI_setModeBits(OSPI_Handle handle, uint32_t modeBits)
This function sets mode bits in the mode bit field of OSPI config register.
OSPI_Config::attrs
const OSPI_Attrs * attrs
Definition: ospi/v0/ospi.h:512
OSPI_getPhyEnableSuccess
uint32_t OSPI_getPhyEnableSuccess(OSPI_Handle handle)
This function fetches the phyEnableSuccess field in OSPI_Object.
OSPI_phyTuneDDR
int32_t OSPI_phyTuneDDR(OSPI_Handle handle, uint32_t flashOffset)
This function tunes the OSPI PHY for DDR mode to set optimal PHY parameters.
OSPI_PhyConfiguration::phaseDelayElement
uint32_t phaseDelayElement
Definition: ospi/v0/ospi.h:399
OSPI_readCmd
int32_t OSPI_readCmd(OSPI_Handle handle, OSPI_ReadCmdParams *rdParams)
Function to send specific commands and receive related data from flash.
OSPI_Attrs::phyConfiguration
OSPI_PhyConfiguration phyConfiguration
Definition: ospi/v0/ospi.h:448
OSPI_Config::object
OSPI_Object * object
Definition: ospi/v0/ospi.h:514
OSPI_readIndirect
int32_t OSPI_readIndirect(OSPI_Handle handle, OSPI_Transaction *trans)
Function to perform indirect reads from the flash using INDAC controller.
OSPI_cmdModeBitSet
void OSPI_cmdModeBitSet(OSPI_Handle handle, uint32_t enable)
This function sets command mode bit.
OSPI_Attrs::decChipSelect
uint32_t decChipSelect
Definition: ospi/v0/ospi.h:439
OSPI_rdModeBitSet
void OSPI_rdModeBitSet(OSPI_Handle handle, uint32_t enable)
This function sets Read mode bit.
OSPI_Object::transferSemObj
SemaphoreP_Object transferSemObj
Definition: ospi/v0/ospi.h:498