MCUSW
Eth.h
Go to the documentation of this file.
1 /*
2 *
3 * Copyright (c) 2023 Texas Instruments Incorporated
4 *
5 * All rights reserved not granted herein.
6 *
7 * Limited License.
8 *
9 * Texas Instruments Incorporated grants a world-wide, royalty-free, non-exclusive
10 * license under copyrights and patents it now or hereafter owns or controls to make,
11 * have made, use, import, offer to sell and sell ("Utilize") this software subject to the
12 * terms herein. With respect to the foregoing patent license, such license is granted
13 * solely to the extent that any such patent is necessary to Utilize the software alone.
14 * The patent license shall not apply to any combinations which include this software,
15 * other than combinations with devices manufactured by or for TI ("TI Devices").
16 * No hardware patent is licensed hereunder.
17 *
18 * Redistributions must preserve existing copyright notices and reproduce this license
19 * (including the above copyright notice and the disclaimer and (if applicable) source
20 * code license limitations below) in the documentation and/or other materials provided
21 * with the distribution
22 *
23 * Redistribution and use in binary form, without modification, are permitted provided
24 * that the following conditions are met:
25 *
26 * * No reverse engineering, decompilation, or disassembly of this software is
27 * permitted with respect to any software provided in binary form.
28 *
29 * * any redistribution and use are licensed by TI for use only with TI Devices.
30 *
31 * * Nothing shall obligate TI to provide you with source code for the software
32 * licensed and provided to you in object code.
33 *
34 * If software source code is provided to you, modification and redistribution of the
35 * source code are permitted provided that the following conditions are met:
36 *
37 * * any redistribution and use of the source code, including any resulting derivative
38 * works, are licensed by TI for use only with TI Devices.
39 *
40 * * any redistribution and use of any object code compiled from the source code
41 * and any resulting derivative works, are licensed by TI for use only with TI Devices.
42 *
43 * Neither the name of Texas Instruments Incorporated nor the names of its suppliers
44 *
45 * may be used to endorse or promote products derived from this software without
46 * specific prior written permission.
47 *
48 * DISCLAIMER.
49 *
50 * THIS SOFTWARE IS PROVIDED BY TI AND TI'S LICENSORS "AS IS" AND ANY EXPRESS
51 * OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
52 * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
53 * IN NO EVENT SHALL TI AND TI'S LICENSORS BE LIABLE FOR ANY DIRECT, INDIRECT,
54 * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
55 * BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
56 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY
57 * OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE
58 * OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
59 * OF THE POSSIBILITY OF SUCH DAMAGE.
60 *
61 */
62 
91 /*
92  * Below are the generic requirements met by this Eth driver which can't be
93  * mapped to a specific piece of code
94  */
95 /*
96  * Design: ETH_DesignId_001
97  * Requirements: MCAL-1519, MCAL-1520, MCAL-1522, MCAL-1523,
98  * MCAL-1525, MCAL-1526, MCAL-1531, MCAL-1614,
99  * MCAL-1635, MCAL-1636, MCAL-1703, MCAL-981
100  */
101 
102 #ifndef ETH_H_
103 #define ETH_H_
104 
105 /* ========================================================================== */
106 /* Include Files */
107 /* ========================================================================== */
108 
109 /*
110  * Design: ETH_DesignId_001
111  * Requirements: MCAL-1635
112  *
113  * Note: As per "Header file structure" in Ethernet Driver spec:
114  * Eth.h --> Eth_Types.h --> Eth_GeneralTypes.h --> ComStack_Types.h
115  */
116 #include "Eth_Types.h"
117 #include "Eth_Cfg.h"
118 #include "Eth_Packet.h"
119 
120 #ifdef __cplusplus
121 extern "C"
122 {
123 #endif
124 
125 /* ========================================================================== */
126 /* Macros & Typedefs */
127 /* ========================================================================== */
128 
135 /*
136  * Design: ETH_DesignId_001
137  * Requirements: MCAL-1522
138  */
140 #define ETH_SW_MAJOR_VERSION (9U)
141 
142 #define ETH_SW_MINOR_VERSION (1U)
143 
144 #define ETH_SW_PATCH_VERSION (0U)
145 
154 #define ETH_AR_RELEASE_MAJOR_VERSION (4U)
155 
156 #define ETH_AR_RELEASE_MINOR_VERSION (3U)
157 
158 #define ETH_AR_RELEASE_REVISION_VERSION (1U)
159 
166 #define ETH_VENDOR_ID ((uint16) 44U)
167 
168 #define ETH_MODULE_ID ((uint16) 88U)
169 
170 #define ETH_INSTANCE_ID ((uint8) 0U)
171 
180 /*
181  * Design: ETH_DesignId_003
182  * Requirements: MCAL-1530
183  */
184 #ifndef ETH_E_INV_CTRL_IDX
185 
186 #define ETH_E_INV_CTRL_IDX ((uint8) 0x01U)
187 #endif
188 #ifndef ETH_E_UNINIT
189 
190 #define ETH_E_UNINIT ((uint8) 0x02U)
191 #endif
192 #ifndef ETH_E_PARAM_POINTER
193 
194 #define ETH_E_PARAM_POINTER ((uint8) 0x03U)
195 #endif
196 #ifndef ETH_E_INV_PARAM
197 
198 #define ETH_E_INV_PARAM ((uint8) 0x04U)
199 #endif
200 #ifndef ETH_E_INV_MODE
201 
202 #define ETH_E_INV_MODE ((uint8) 0x05U)
203 #endif
204 #ifndef ETH_E_VIRTMAC_APIMISMATCH
205 
206 #define ETH_E_VIRTMAC_APIMISMATCH ((uint8) 0x07U)
207 #endif
208 #ifndef ETH_E_VIRTMAC_RPCCMDFAILED
209 
210 #define ETH_E_VIRTMAC_RPCCMDFAILED ((uint8) 0x08U)
211 #endif
212 #ifndef ETH_E_VIRTMAC_UNSUPPORTECLIENTNOTIFY
213 
214 #define ETH_E_VIRTMAC_UNSUPPORTECLIENTNOTIFY ((uint8) 0x09U)
215 #endif
216 #ifndef ETH_E_VIRTMAC_UNSUPPORTEDSRVCMD
217 
218 #define ETH_E_VIRTMAC_UNSUPPORTEDSRVCMD ((uint8) 0x0AU)
219 #endif
220 #ifndef ETH_E_BUSY
221 
222 #define ETH_E_BUSY ((uint8) 0x0BU)
223 #endif
224 
236 #define ETH_SID_INIT ((uint8) 0x01U)
237 
239 #define ETH_SID_SET_CONTROLLER_MODE ((uint8) 0x03U)
240 
242 #define ETH_SID_GET_CONTROLLER_MODE ((uint8) 0x04U)
243 
245 #define ETH_SID_WRITE_MII ((uint8) 0x05U)
246 
248 #define ETH_SID_READ_MII ((uint8) 0x06U)
249 
251 #define ETH_SID_GET_COUNTER_STATE ((uint8) 0x07U)
252 
254 #define ETH_SID_GET_PHYS_ADDR ((uint8) 0x08U)
255 
257 #define ETH_SID_PROVIDE_TX_BUFFER ((uint8) 0x09U)
258 
260 /* REVISIT: Same as below? */
261 #define ETH_SID_MAIN_FUNCTION ((uint8) 0x20U)
262 
264 #define ETH_SID_TRANSMIT ((uint8) 0x0AU)
265 
267 #define ETH_SID_RECEIVE ((uint8) 0x0BU)
268 
270 #define ETH_SID_TX_CONFIRMATION ((uint8) 0x0CU)
271 
273 #define ETH_SID_GET_VERSION_INFO ((uint8) 0x0DU)
274 
276 #define ETH_SID_RX_IRQ_HDLR ((uint8) 0x10U)
277 
279 #define ETH_SID_TX_IRQ_HDLR ((uint8) 0x11U)
280 
282 #define ETH_SID_UPDATE_PHYS_ADDR_FILTER ((uint8) 0x12U)
283 
285 #define ETH_SID_SET_PHYS_ADDR ((uint8) 0x13U)
286 
288 #define ETH_SID_GET_COUNTER_VALUES ((uint8) 0x14U)
289 
291 #define ETH_SID_GET_RX_STATS ((uint8) 0x15U)
292 
294 #define ETH_SID_GET_TX_STATS ((uint8) 0x1CU)
295 
297 #define ETH_SID_GET_TXERROR_COUNTERVALUES ((uint8) 0x1DU)
298 
300 #define ETH_SID_GET_CURRENT_TIME ((uint8) 0x16U)
301 
303 #define ETH_SID_ENABLE_EGRESS_TIMESTAMP ((uint8) 0x17U)
304 
306 #define ETH_SID_GET_EGRESS_TIMESTAMP ((uint8) 0x18U)
307 
309 #define ETH_SID_GET_INGRESS_TIMESTAMP ((uint8) 0x19U)
310 
312 #define ETH_SID_DISPATCH_VIRTMAC_INIT ((uint8) 0x30U)
313 
315 #define ETH_SID_DISPATCH_VIRTMAC_DEINIT ((uint8) 0x31U)
316 
318 #define ETH_SID_NOTIFY_VIRTMAC_MSGRECV ((uint8) 0x32U)
319 
321 #define ETH_SID_DISPATCH_VIRTMAC_SUBSCRIBE_ALLTRAFFIC ((uint8) 0x33U)
322 
324 #define ETH_SID_DISPATCH_VIRTMAC_UNSUBSCRIBE_ALLTRAFFIC ((uint8) 0x34U)
325 
327 #define ETH_SID_DISPATCH_VIRTMAC_SUBSCRIBE_DSTMAC ((uint8) 0x35U)
328 
330 #define ETH_SID_DISPATCH_VIRTMAC_UNSUBSCRIBE_DSTMAC ((uint8) 0x36U)
331 
333 #define ETH_SID_DISPATCH_VIRTMAC_IPV4_MACADDR_ASSOCIATE ((uint8) 0x37U)
334 
336 #define ETH_SID_DISPATCH_VIRTMAC_IPV4_MACADDR_DISASSOCIATE ((uint8) 0x38U)
337 
339 #define ETH_SID_DISPATCH_VIRTMAC_ADD_UNICAST_MACADDR ((uint8) 0x39U)
340 
342 #define ETH_SID_DISPATCH_VIRTMAC_ADD_MCAST_MACADDR ((uint8) 0x3AU)
343 
345 #define ETH_SID_DISPATCH_VIRTMAC_DEL_MACADDR ((uint8) 0x3BU)
346 
348 #define ETH_SID_DISPATCH_VIRTMAC_ADD_VLAN ((uint8) 0x3CU)
349 
351 #define ETH_SID_DISPATCH_VIRTMAC_DEL_VLAN ((uint8) 0x3DU)
352 
354 #define ETH_SID_VIRTMAC_RPC_INIT ((uint8) 0x3FU)
355 
357 #define ETH_SID_SET_BANDWIDTH_LIMIT ((uint8) 0x50U)
358 
360 #define ETH_SID_GET_BANDWIDTH_LIMIT ((uint8) 0x51U)
361 
363 #define ETH_SID_RELEASE_RX_BUFFER ((uint8) 0x52U)
364 
366 #define ETH_SID_PROVIDE_EXT_TX_BUFFER ((uint8) 0x53U)
367 
369 #define ETH_SID_GET_TX_HEADER_PTR ((uint8) 0x54U)
370 
372 #define ETH_SID_GET_RX_HEADER_PTR ((uint8) 0x55U)
373 
375 #define ETH_SID_REGISTER_READBACK ((uint8) 0x56U)
376 
377 
380 /* ========================================================================== */
381 /* Structures and Enums */
382 /* ========================================================================== */
383 
384 /* None */
385 
386 /* ========================================================================== */
387 /* Function Declarations */
388 /* ========================================================================== */
389 
409 FUNC(void, ETH_CODE)
410 Eth_Init(P2CONST(Eth_ConfigType, AUTOMATIC, ETH_PBCFG) CfgPtr);
411 
438 FUNC(Std_ReturnType, ETH_CODE)
439 Eth_SetControllerMode(uint8 CtrlIdx,
440  Eth_ModeType CtrlMode);
441 
442 #if (STD_ON == ETH_VIRTUALMAC_SUPPORT)
443 
470 FUNC(Std_ReturnType, ETH_CODE)
471 Eth_SetControllerModeByVirtMac(uint8 CtrlIdx,
472  Eth_ModeType CtrlMode);
473 #endif
474 
500 FUNC(Std_ReturnType, ETH_CODE)
501 Eth_GetControllerMode(uint8 CtrlIdx,
502  P2VAR(Eth_ModeType, AUTOMATIC, ETH_APPL_DATA) CtrlModePtr);
503 
528 FUNC(void, ETH_CODE)
529 Eth_GetPhysAddr(uint8 CtrlIdx,
530  P2VAR(uint8, AUTOMATIC, ETH_APPL_DATA) PhysAddrPtr);
531 
557 FUNC(void, ETH_CODE)
558 Eth_SetPhysAddr(uint8 CtrlIdx,
559  P2CONST(uint8, AUTOMATIC, ETH_APPL_DATA) PhysAddrPtr);
560 
561 #if (STD_ON == ETH_UPDATE_PHYS_ADDR_FILTER_API)
562 
593 FUNC(Std_ReturnType, ETH_CODE)
594 Eth_UpdatePhysAddrFilter(uint8 CtrlIdx,
595  P2CONST(uint8, AUTOMATIC, ETH_APPL_DATA) PhysAddrPtr,
596  Eth_FilterActionType Action);
597 #endif /* (STD_ON == ETH_UPDATE_PHYS_ADDR_FILTER_API) */
598 
599 #if (STD_ON == ETH_ENABLE_MII_API)
600 
631 FUNC(Std_ReturnType, ETH_CODE)
632 Eth_WriteMii(uint8 CtrlIdx,
633  uint8 TrcvIdx,
634  uint8 RegIdx,
635  uint16 RegVal);
636 
667 FUNC(Std_ReturnType, ETH_CODE)
668 Eth_ReadMii(uint8 CtrlIdx,
669  uint8 TrcvIdx,
670  uint8 RegIdx,
671  P2VAR(uint16, AUTOMATIC, ETH_APPL_DATA) RegValPtr);
672 #endif /* (STD_ON == ETH_ENABLE_MII_API) */
673 
674 #if (STD_ON == ETH_GET_COUNTER_VALUES_API)
675 
698 FUNC(Std_ReturnType, ETH_CODE)
699 Eth_GetCounterValues(uint8 CtrlIdx,
700  Eth_CounterType* CounterPtr);
701 #endif /* (STD_ON == ETH_GET_COUNTER_VALUES_API) */
702 
703 #if (STD_ON == ETH_GET_COUNTER_STATE_API)
704 
726 FUNC(Std_ReturnType, ETH_CODE)
727 Eth_GetCounterState(uint8 CtrlIdx,
728  uint16 CtrOffs,
729  uint32* CtrValPtr);
730 #endif /* (STD_ON == ETH_GET_COUNTER_STATE_API) */
731 
732 #if (STD_ON == ETH_GET_RX_STATS_API)
733 
758 FUNC(Std_ReturnType, ETH_CODE)
759 Eth_GetRxStats(uint8 CtrlIdx,
760  P2VAR(Eth_RxStatsType, AUTOMATIC, ETH_APPL_DATA) RxStats);
761 #endif /* (STD_ON == ETH_GET_RX_STATS_API) */
762 
763 #if (STD_ON == ETH_GET_TX_STATS_API)
764 
789 FUNC(Std_ReturnType, ETH_CODE)
790 Eth_GetTxStats(uint8 CtrlIdx,
791  P2VAR(Eth_TxStatsType, AUTOMATIC, ETH_APPL_DATA) TxStats);
792 #endif /* (STD_ON == ETH_GET_TX_STATS_API) */
793 
794 #if (STD_ON == ETH_GET_TX_ERROR_COUNTERSVALUES_API)
795 
818 FUNC(Std_ReturnType, ETH_CODE)
819 Eth_GetTxErrorCounterValues(uint8 CtrlIdx,
820  P2VAR(Eth_TxErrorCounterValuesType, AUTOMATIC, ETH_APPL_DATA) TxErrorCounterValues);
821 #endif
822 
823 #if (STD_ON == ETH_GLOBALTIMESUPPORT_API)
824 
852 FUNC(Std_ReturnType, ETH_CODE)
853 Eth_GetCurrentTime(uint8 CtrlIdx,
854  P2VAR(Eth_TimeStampQualType, AUTOMATIC, ETH_APPL_DATA) timeQualPtr,
855  P2VAR(Eth_TimeStampType, AUTOMATIC, ETH_APPL_DATA) timeStampPtr);
856 
886 FUNC(void, ETH_CODE)
887 Eth_EnableEgressTimeStamp(uint8 CtrlIdx, Eth_BufIdxType BufIdx);
888 
919 FUNC(void, ETH_CODE)
920 Eth_GetEgressTimeStamp(uint8 CtrlIdx,
921  Eth_BufIdxType BufIdx,
922  P2VAR(Eth_TimeStampQualType, AUTOMATIC, ETH_APPL_DATA) timeQualPtr,
923  P2VAR(Eth_TimeStampType, AUTOMATIC, ETH_APPL_DATA) timeStampPtr);
924 
955 FUNC(void, ETH_CODE)
956 Eth_GetIngressTimeStamp(uint8 CtrlIdx,
957  P2VAR(Eth_DataType, AUTOMATIC, ETH_APPL_DATA) DataPtr,
958  P2VAR(Eth_TimeStampQualType, AUTOMATIC, ETH_APPL_DATA) timeQualPtr,
959  P2VAR(Eth_TimeStampType, AUTOMATIC, ETH_APPL_DATA) timeStampPtr);
960 
961 #endif /* (STD_ON == ETH_GLOBALTIMESUPPORT_API) */
962 
997 FUNC(BufReq_ReturnType, ETH_CODE)
998 Eth_ProvideTxBuffer(uint8 CtrlIdx,
999  uint8 Priority,
1000  P2VAR(Eth_BufIdxType, AUTOMATIC, ETH_APPL_DAT) BufIdxPtr,
1001  P2VAR(uint8, AUTOMATIC, ETH_APPL_DAT) *BufPtr,
1002  P2VAR(uint16, AUTOMATIC, ETH_APPL_DAT) LenBytePtr);
1003 
1038 FUNC(Std_ReturnType, ETH_CODE)
1039 Eth_Transmit(uint8 CtrlIdx,
1040  Eth_BufIdxType BufIdx,
1041  Eth_FrameType FrameType,
1042  boolean TxConfirmation,
1043  uint16 LenByte,
1044  P2CONST(uint8, AUTOMATIC, ETH_APPL_DAT) PhysAddrPtr);
1045 
1071 FUNC(void, ETH_CODE)
1072 Eth_Receive(uint8 CtrlIdx,
1073  uint8 FifoIdx,
1074  P2VAR(Eth_RxStatusType, AUTOMATIC, ETH_APPL_DAT) RxStatusPtr);
1075 
1096 FUNC(void, ETH_CODE)
1097 Eth_TxConfirmation(uint8 CtrlIdx);
1098 
1099 #if (STD_ON == ETH_VERSION_INFO_API)
1100 
1120 FUNC(void, ETH_CODE)
1121 Eth_GetVersionInfo(P2VAR(Std_VersionInfoType, AUTOMATIC, ETH_APPL_DATA) VersionInfo);
1122 #endif /* (STD_ON == ETH_VERSION_INFO_API) */
1123 
1141 FUNC(void, ETH_CODE)
1142 Eth_MainFunction(void);
1143 
1144 #if (STD_ON == ETH_TRAFFIC_SHAPING_API)
1145 
1171 FUNC(Std_ReturnType, ETH_CODE) Eth_SetBandwidthLimit(
1172  uint8 CtrlIdx,
1173  uint8 QueuePrio,
1174  uint32 BandwidthLimit);
1175 
1201 FUNC(Std_ReturnType, ETH_CODE) Eth_GetBandwidthLimit(
1202  uint8 CtrlIdx,
1203  uint8 QueuePrio,
1204  P2VAR(uint32, AUTOMATIC, ETH_APPL_DAT) BandwidthLimitPtr);
1205 #endif /* (STD_ON == ETH_TRAFFIC_SHAPING_API) */
1206 
1207 #if (STD_ON == ETH_ZERO_COPY_API)
1208 
1229 FUNC(Std_ReturnType, ETH_CODE) Eth_ReleaseRxBuffer(uint8 CtrlIdx,
1230  P2VAR(Eth_DataType, AUTOMATIC, ETH_APPL_DATA) BufPtr);
1231 
1264 FUNC(BufReq_ReturnType, ETH_CODE) Eth_ProvideExtTxBuffer(uint8 CtrlIdx,
1265  uint8 Priority,
1266  P2VAR(Eth_BufIdxType, AUTOMATIC, ETH_APPL_DATA) BufIdxPtr,
1267  P2VAR(Eth_DataType, AUTOMATIC, ETH_APPL_DATA) *BufPtr,
1268  P2VAR(uint16, AUTOMATIC, ETH_APPL_DATA) LenBytePtr);
1269 #endif /* (STD_ON == ETH_ZERO_COPY_API) */
1270 
1271 #if (STD_ON == ETH_HEADER_ACCESS_API)
1272 
1299 FUNC(Std_ReturnType, ETH_CODE) Eth_GetTxHeaderPtr(uint8 CtrlIdx,
1300  uint8 BufIdx,
1301  P2VAR(Eth_DataType, AUTOMATIC, ETH_APPL_DATA) *BufPtr,
1302  P2VAR(uint16, AUTOMATIC, ETH_APPL_DATA) LenBytePtr);
1303 
1326 FUNC(Std_ReturnType, ETH_CODE) Eth_GetRxHeaderPtr(uint8 CtrlIdx,
1327  P2VAR(Eth_DataType, AUTOMATIC, ETH_APPL_DATA) *BufPtr,
1328  P2VAR(uint16, AUTOMATIC, ETH_APPL_DATA) LenBytePtr);
1329 #endif /* (STD_ON == ETH_HEADER_ACCESS_API) */
1330 
1331 #if (STD_ON == ETH_REGISTER_READBACK_API)
1332 
1356 Std_ReturnType Eth_RegisterReadback(
1357  VAR(uint8, AUTOMATIC)CtrlIdx,
1358  P2VAR(Eth_RegisterReadbackType, AUTOMATIC, ETH_APPL_DATA) RegRbPtr);
1359 #endif /* (STD_ON == ETH_REGISTER_READBACK_API) */
1360 
1361 #ifdef __cplusplus
1362 }
1363 #endif
1364 
1365 #endif /* ETH_H_ */
1366 
void Eth_GetEgressTimeStamp(uint8 CtrlIdx, Eth_BufIdxType BufIdx, Eth_TimeStampQualType *timeQualPtr, Eth_TimeStampType *timeStampPtr)
This function reads back the egress time stamp on a dedicated message object.
Std_ReturnType Eth_GetCurrentTime(uint8 CtrlIdx, Eth_TimeStampQualType *timeQualPtr, Eth_TimeStampType *timeStampPtr)
This function returns a time value out of the HW registers.
Std_ReturnType Eth_SetControllerModeByVirtMac(uint8 CtrlIdx, Eth_ModeType CtrlMode)
This function enables / disables the indexed controller by Eth virtmac. This is only used for recover...
Std_ReturnType Eth_RegisterReadback(VAR(uint8,) CtrlIdx, Eth_RegisterReadbackType *RegRbPtr)
This service will readback Eth registers.
void Eth_GetIngressTimeStamp(uint8 CtrlIdx, Eth_DataType *DataPtr, Eth_TimeStampQualType *timeQualPtr, Eth_TimeStampType *timeStampPtr)
This function reads back the ingress time stamp on a dedicated message object.
Std_ReturnType Eth_Transmit(uint8 CtrlIdx, Eth_BufIdxType BufIdx, Eth_FrameType FrameType, boolean TxConfirmation, uint16 LenByte, const uint8 *PhysAddrPtr)
This function triggers transmission of a previously filled transmit buffer.
Eth configuration type Configuration data of all controller.
Definition: Eth_Cfg.h:1037
void Eth_GetPhysAddr(uint8 CtrlIdx, uint8 *PhysAddrPtr)
This function obtains the physical source address used by the indexed controller.
void Eth_GetVersionInfo(Std_VersionInfoType *VersionInfo)
Function returns the version information of this module.
Std_ReturnType Eth_GetRxStats(uint8 CtrlIdx, Eth_RxStatsType *RxStats)
This function reads a list with RX statistics values of the corresponding controller.
Std_ReturnType Eth_ReleaseRxBuffer(uint8 CtrlIdx, Eth_DataType *BufPtr)
This function releases an RX buffer and prepares the buffer to be reused during reception.
Std_ReturnType Eth_GetTxStats(uint8 CtrlIdx, Eth_TxStatsType *TxStats)
This function reads a list with TX statistics values of the corresponding controller.
BufReq_ReturnType Eth_ProvideTxBuffer(uint8 CtrlIdx, uint8 Priority, Eth_BufIdxType *BufIdxPtr, uint8 **BufPtr, uint16 *LenBytePtr)
This function provides access to a transmit buffer of the specified controller.
Std_ReturnType Eth_SetControllerMode(uint8 CtrlIdx, Eth_ModeType CtrlMode)
This function enables / disables the indexed controller.
Std_ReturnType Eth_WriteMii(uint8 CtrlIdx, uint8 TrcvIdx, uint8 RegIdx, uint16 RegVal)
This function configures a transceiver register or triggers a function offered by the receiver Servic...
void Eth_Receive(uint8 CtrlIdx, uint8 FifoIdx, Eth_RxStatusType *RxStatusPtr)
This function triggers frame reception.
Std_ReturnType Eth_GetCounterValues(uint8 CtrlIdx, Eth_CounterType *CounterPtr)
This function reads a list with counter values of the corresponding controller.
Std_ReturnType Eth_GetCounterState(uint8 CtrlIdx, uint16 CtrOffs, uint32 *CtrValPtr)
Reads the value of a counter specified with its memory offset.
void Eth_EnableEgressTimeStamp(uint8 CtrlIdx, Eth_BufIdxType BufIdx)
This function activates egress time stamping on a dedicated message object.
Std_ReturnType Eth_ReadMii(uint8 CtrlIdx, uint8 TrcvIdx, uint8 RegIdx, uint16 *RegValPtr)
This function reads a transceiver register.
VAR(uint8, ETH_VAR_NO_INIT_128) Eth_Ctrl_0_Egress_BufferMem_0[24576U]
Std_ReturnType Eth_GetControllerMode(uint8 CtrlIdx, Eth_ModeType *CtrlModePtr)
This function obtains the state of the indexed controller.
void Eth_Init(const Eth_ConfigType *CfgPtr)
This function initializes the driver.
Std_ReturnType Eth_UpdatePhysAddrFilter(uint8 CtrlIdx, const uint8 *PhysAddrPtr, Eth_FilterActionType Action)
This function updates the physical source address to / from the indexed controller filter.
void Eth_MainFunction(void)
The function checks for controller errors and lost frames. Used for polling state changes....
BufReq_ReturnType Eth_ProvideExtTxBuffer(uint8 CtrlIdx, uint8 Priority, Eth_BufIdxType *BufIdxPtr, Eth_DataType **BufPtr, uint16 *LenBytePtr)
This function provides an external buffer for frame transmission. The buffer is supposed to be locked...
void Eth_TxConfirmation(uint8 CtrlIdx)
This function triggers frame transmission confirmation.
This file contains generated configuration for ETH MCAL driver.
Std_ReturnType Eth_GetBandwidthLimit(uint8 CtrlIdx, uint8 QueuePrio, uint32 *BandwidthLimitPtr)
This function get bandwidth for a specific transmitsion queue.
Std_ReturnType Eth_SetBandwidthLimit(uint8 CtrlIdx, uint8 QueuePrio, uint32 BandwidthLimit)
This function set bandwidth for a specific transmitsion queue.
Std_ReturnType Eth_GetRxHeaderPtr(uint8 CtrlIdx, Eth_DataType **BufPtr, uint16 *LenBytePtr)
This function returns the pointer to the first octet of a received Ethernet frame....
void Eth_SetPhysAddr(uint8 CtrlIdx, const uint8 *PhysAddrPtr)
This function sets the physical source address used by the indexed controller.
Std_ReturnType Eth_GetTxHeaderPtr(uint8 CtrlIdx, uint8 BufIdx, Eth_DataType **BufPtr, uint16 *LenBytePtr)
This function returns the Ethernet header portion of a transmission frame. This allows the user to ov...
Std_ReturnType Eth_GetTxErrorCounterValues(uint8 CtrlIdx, Eth_TxErrorCounterValuesType *TxErrorCounterValues)
This function reads a list of values to read statistic error counter values for transmission for corr...